Skip to content

client/hud: If the lenght is > 10 we add ... at the end #126

client/hud: If the lenght is > 10 we add ... at the end

client/hud: If the lenght is > 10 we add ... at the end #126