Skip to content

v0.2.0

Compare
Choose a tag to compare
@fischeti fischeti released this 04 Oct 14:46
· 48 commits to main since this release

Changed

  • Renamed *_flit_pkg to *_pkg
  • New naming scheme of ports: All AXI ports are now prefixed with axi_, all FlooNoC links are now prefixed with floo_
  • Renamed floo_param_pkg to floo_test_pkg
  • Renamed AXI resp_t structs to rsp_t
  • Changed configuration format to align with upcoming FlooNoC generation script

Added

  • Table based routing support in narrow_wide_chimney
  • Support for different number of inputs and outputs in narrow_wide_router
  • Add wrapper for different types of Reorder Buffers in chimneys
  • Support for simple RoB-less chimneys with ID counters

Fixed

  • Test modules floo_axi_rand_slave & floo_dma_test_node now support addr_width > 32
  • Fixed synchronization issues for ATOP B and R responses