Skip to content

Commit

Permalink
Reformatted
Browse files Browse the repository at this point in the history
  • Loading branch information
isotuela committed Jul 7, 2023
1 parent 1c63b7c commit 0fec5a8
Showing 1 changed file with 3 additions and 2 deletions.
Original file line number Diff line number Diff line change
Expand Up @@ -197,7 +197,8 @@ async def wait_for_chiller_temp_within_tolerance(self):
)
tel_chiller_temp = chiller_temps.supplyTemperature
self.log.debug(
f"Chiller supply temperature: {tel_chiller_temp:0.1f} deg [set:{chiller_temps.setTemperature} deg]."
f"Chiller supply temperature: {tel_chiller_temp:0.1f} deg "
f"[set:{chiller_temps.setTemperature} deg]."
)
if (
abs(chiller_temps.setTemperature - tel_chiller_temp)
Expand Down Expand Up @@ -295,4 +296,4 @@ async def assert_components_enabled(self):
if salobj.State(summary_state.summaryState) != salobj.State(
salobj.State.ENABLED
):
raise RuntimeError(f"{comp} is not ENABLED")
raise RuntimeError(f"{comp} is not ENABLED")

0 comments on commit 0fec5a8

Please sign in to comment.