Skip to content

Commit

Permalink
Update version to 0.1.3
Browse files Browse the repository at this point in the history
  • Loading branch information
gmlarumbe committed Aug 8, 2024
1 parent 31e6f25 commit 807fdb4
Show file tree
Hide file tree
Showing 2 changed files with 2 additions and 2 deletions.
2 changes: 1 addition & 1 deletion test-hdl
Submodule test-hdl updated 49 files
+4 −2 test-hdl-common.el
+1 −1 verilog/files/tags/verilog-ext/ref/axi_demux.defs.el
+1 −1 verilog/files/tags/verilog-ext/ref/axi_demux.refs.el
+1 −1 verilog/files/tags/verilog-ext/ref/axi_demux.ts.defs.el
+1 −1 verilog/files/tags/verilog-ext/ref/axi_demux.ts.refs.el
+1 −1 verilog/files/tags/verilog-ext/ref/axi_test.defs.el
+1 −1 verilog/files/tags/verilog-ext/ref/axi_test.refs.el
+1 −1 verilog/files/tags/verilog-ext/ref/axi_test.ts.defs.el
+1 −1 verilog/files/tags/verilog-ext/ref/axi_test.ts.refs.el
+1 −1 verilog/files/tags/verilog-ext/ref/instances.defs.el
+1 −1 verilog/files/tags/verilog-ext/ref/instances.refs.el
+1 −1 verilog/files/tags/verilog-ext/ref/instances.ts.defs.el
+1 −1 verilog/files/tags/verilog-ext/ref/instances.ts.refs.el
+1 −1 verilog/files/tags/verilog-ext/ref/misc.ts.defs.el
+1 −1 verilog/files/tags/verilog-ext/ref/misc.ts.refs.el
+1 −1 verilog/files/tags/verilog-ext/ref/tb_program.defs.el
+1 −1 verilog/files/tags/verilog-ext/ref/tb_program.refs.el
+1 −1 verilog/files/tags/verilog-ext/ref/tb_program.ts.defs.el
+1 −1 verilog/files/tags/verilog-ext/ref/tb_program.ts.refs.el
+1 −1 verilog/files/tags/verilog-ext/ref/ucontroller.defs.el
+1 −1 verilog/files/tags/verilog-ext/ref/ucontroller.refs.el
+1 −1 verilog/files/tags/verilog-ext/ref/ucontroller.ts.defs.el
+1 −1 verilog/files/tags/verilog-ext/ref/ucontroller.ts.refs.el
+1 −1 verilog/files/tags/verilog-ext/ref/uvm_component.defs.el
+1 −1 verilog/files/tags/verilog-ext/ref/uvm_component.refs.el
+1 −1 verilog/files/tags/verilog-ext/ref/uvm_component.ts.defs.el
+1 −1 verilog/files/tags/verilog-ext/ref/uvm_component.ts.refs.el
+1 −1 vhdl/files/tags/vhdl-ext/ref/axi_if_converter.ts.defs.el
+1 −1 vhdl/files/tags/vhdl-ext/ref/axi_if_converter.ts.refs.el
+1 −1 vhdl/files/tags/vhdl-ext/ref/global_pkg.ts.defs.el
+1 −1 vhdl/files/tags/vhdl-ext/ref/global_pkg.ts.refs.el
+1 −1 vhdl/files/tags/vhdl-ext/ref/global_sim.ts.defs.el
+1 −1 vhdl/files/tags/vhdl-ext/ref/global_sim.ts.refs.el
+1 −1 vhdl/files/tags/vhdl-ext/ref/hierarchy.ts.defs.el
+1 −1 vhdl/files/tags/vhdl-ext/ref/hierarchy.ts.refs.el
+1 −1 vhdl/files/tags/vhdl-ext/ref/indent_misc.ts.defs.el
+1 −1 vhdl/files/tags/vhdl-ext/ref/indent_misc.ts.refs.el
+1 −1 vhdl/files/tags/vhdl-ext/ref/instances.ts.defs.el
+1 −1 vhdl/files/tags/vhdl-ext/ref/instances.ts.refs.el
+1 −1 vhdl/files/tags/vhdl-ext/ref/misc.ts.defs.el
+1 −1 vhdl/files/tags/vhdl-ext/ref/misc.ts.refs.el
+1 −1 vhdl/files/tags/vhdl-ext/ref/sexp.ts.defs.el
+1 −1 vhdl/files/tags/vhdl-ext/ref/sexp.ts.refs.el
+1 −1 vhdl/files/tags/vhdl-ext/ref/std_logic_1164-body.ts.defs.el
+1 −1 vhdl/files/tags/vhdl-ext/ref/std_logic_1164-body.ts.refs.el
+1 −1 vhdl/files/tags/vhdl-ext/ref/std_logic_1164.ts.defs.el
+1 −1 vhdl/files/tags/vhdl-ext/ref/std_logic_1164.ts.refs.el
+1 −1 vhdl/files/tags/vhdl-ext/ref/tb_axi_if_converter.ts.defs.el
+1 −1 vhdl/files/tags/vhdl-ext/ref/tb_axi_if_converter.ts.refs.el
2 changes: 1 addition & 1 deletion vhdl-ts-mode.el
Original file line number Diff line number Diff line change
Expand Up @@ -4,7 +4,7 @@

;; Author: Gonzalo Larumbe <[email protected]>
;; URL: https://github.com/gmlarumbe/vhdl-ts-mode
;; Version: 0.1.2
;; Version: 0.1.3
;; Keywords: VHDL, IDE, Tools
;; Package-Requires: ((emacs "29.1"))

Expand Down

0 comments on commit 807fdb4

Please sign in to comment.