Skip to content

Commit

Permalink
Update change log.
Browse files Browse the repository at this point in the history
  • Loading branch information
bluhm committed Aug 1, 2022
1 parent d974504 commit 0d52696
Showing 1 changed file with 5 additions and 1 deletion.
6 changes: 5 additions & 1 deletion Changes
Original file line number Diff line number Diff line change
@@ -1,6 +1,10 @@
Revision history for udpbench udp network benchmarking tool.

1.02
1.02 2022-08-01
- Option -D receives udp packets from divert raw socket.
- Add minimal test on localhost.
- Set progname to show if error is from send or recv process.
- Start ssh with -nT to avoid stopped background process.

1.01 2022-07-29
- Write man page udpbench(1).
Expand Down

0 comments on commit 0d52696

Please sign in to comment.