Skip to content

Commit

Permalink
chore: update expect tests
Browse files Browse the repository at this point in the history
  • Loading branch information
huitseeker committed Feb 11, 2024
1 parent 4193f2d commit 3e61d81
Show file tree
Hide file tree
Showing 4 changed files with 25 additions and 25 deletions.
12 changes: 6 additions & 6 deletions src/circuit.rs
Original file line number Diff line number Diff line change
Expand Up @@ -462,8 +462,8 @@ mod tests {
&params2,
ro_consts1,
ro_consts2,
&expect!["9817"],
&expect!["10349"],
&expect!["9821"],
&expect!["10353"],
);
}

Expand All @@ -479,8 +479,8 @@ mod tests {
&params2,
ro_consts1,
ro_consts2,
&expect!["9985"],
&expect!["10538"],
&expect!["9989"],
&expect!["10542"],
);
}

Expand All @@ -496,8 +496,8 @@ mod tests {
&params2,
ro_consts1,
ro_consts2,
&expect!["10264"],
&expect!["10961"],
&expect!["10268"],
&expect!["10965"],
);
}
}
16 changes: 8 additions & 8 deletions src/lib.rs
Original file line number Diff line number Diff line change
Expand Up @@ -1120,13 +1120,13 @@ mod tests {
test_pp_digest_with::<PallasEngine, _, _, EE<_>, EE<_>>(
&trivial_circuit1,
&trivial_circuit2,
&expect!["492fd902cd7174159bc9a6f827d92eb54ff25efa9d0673dffdb0efd02995df01"],
&expect!["c42c461033243b4bfacad99015dd1cfe0cbc4a55c2a1952c97088b4938811401"],
);

test_pp_digest_with::<PallasEngine, _, _, EE<_>, EE<_>>(
&cubic_circuit1,
&trivial_circuit2,
&expect!["9b0701d9422658e3f74a85ab3e485c06f3ecca9c2b1800aab80004034d754f01"],
&expect!["6a8cfaebf38ff798eb7486f5457400be6dc0a5809c8881b81ff940fbc21e0c00"],
);

let trivial_circuit1_grumpkin = TrivialCircuit::<<Bn256Engine as Engine>::Scalar>::default();
Expand All @@ -1139,22 +1139,22 @@ mod tests {
test_pp_digest_with::<Bn256Engine, _, _, EE<_>, EE<_>>(
&trivial_circuit1_grumpkin,
&trivial_circuit2_grumpkin,
&expect!["1267235eb3d139e466dd9c814eaf73f01b063ccb4cad04848c0eb62f079a9601"],
&expect!["687c6023f38571ff54e37a8793d09852491616d8a24bd83750f1b823bb168900"],
);
test_pp_digest_with::<Bn256Engine, _, _, EE<_>, EE<_>>(
&cubic_circuit1_grumpkin,
&trivial_circuit2_grumpkin,
&expect!["57afac2edd20d39b202151906e41154ba186c9dde497448d1332dc6de2f76302"],
&expect!["7dd39781b4479da926e906d52be23c0b21315b38c198e924e1218d248166b602"],
);
test_pp_digest_with::<Bn256EngineZM, _, _, ZMPCS<Bn256, _>, EE<_>>(
&trivial_circuit1_grumpkin,
&trivial_circuit2_grumpkin,
&expect!["070d247d83e17411d65c12260980ebcc59df88d3882d84eb62e6ab466e381503"],
&expect!["c6d00bcc3e565f543cf07d3ed9ce40cb8b170e19601b9ad7f48cbc9d14ac9102"],
);
test_pp_digest_with::<Bn256EngineZM, _, _, ZMPCS<Bn256, _>, EE<_>>(
&cubic_circuit1_grumpkin,
&trivial_circuit2_grumpkin,
&expect!["47c2caa008323b588b47ab8b6c0e94f980599188abe117c4d21ffff81494f303"],
&expect!["ad1c814009a84af19ff07ad0ab6539d0b48a00c4743bfcfd9282f6adf73fc603"],
);

let trivial_circuit1_secp = TrivialCircuit::<<Secp256k1Engine as Engine>::Scalar>::default();
Expand All @@ -1164,12 +1164,12 @@ mod tests {
test_pp_digest_with::<Secp256k1Engine, _, _, EE<_>, EE<_>>(
&trivial_circuit1_secp,
&trivial_circuit2_secp,
&expect!["04b5d1798be6d74b3701390b87078e70ebf3ddaad80c375319f320cedf8bca00"],
&expect!["e40f364ea6e78c03c4fe34f0ec9371668b70a71e11f3e245fdd7259beb979701"],
);
test_pp_digest_with::<Secp256k1Engine, _, _, EE<_>, EE<_>>(
&cubic_circuit1_secp,
&trivial_circuit2_secp,
&expect!["346b5f27cf24c79386f4de7a8bfb58970181ae7f0de7d2e3f10ad5dfd8fc2302"],
&expect!["ddf30f569f7750090cb0b12c0b94eaa819ce666bebd576d319d7efbdf77a7d02"],
);
}

Expand Down
12 changes: 6 additions & 6 deletions src/supernova/circuit.rs
Original file line number Diff line number Diff line change
Expand Up @@ -838,8 +838,8 @@ mod tests {
&params2,
ro_consts1,
ro_consts2,
&expect!["9836"],
&expect!["10384"],
&expect!["9840"],
&expect!["10388"],
1,
);
// TODO: extend to num_augmented_circuits >= 2
Expand All @@ -857,8 +857,8 @@ mod tests {
&params2,
ro_consts1,
ro_consts2,
&expect!["10004"],
&expect!["10573"],
&expect!["10008"],
&expect!["10577"],
1,
);
// TODO: extend to num_augmented_circuits >= 2
Expand All @@ -876,8 +876,8 @@ mod tests {
&params2,
ro_consts1,
ro_consts2,
&expect!["10283"],
&expect!["10996"],
&expect!["10287"],
&expect!["11000"],
1,
);
// TODO: extend to num_augmented_circuits >= 2
Expand Down
10 changes: 5 additions & 5 deletions src/supernova/test.rs
Original file line number Diff line number Diff line change
Expand Up @@ -564,8 +564,8 @@ fn test_recursive_circuit() {
&params2,
ro_consts1,
ro_consts2,
&expect!["9836"],
&expect!["12017"],
&expect!["9840"],
&expect!["12021"],
);
}

Expand Down Expand Up @@ -606,7 +606,7 @@ fn test_supernova_pp_digest() {

test_pp_digest_with::<PallasEngine, _>(
&test_rom,
&expect!["95f57227c5d62d13b9fe55deac13b8bd099b068bcc785d7b3a054bf376f68e00"],
&expect!["1e5ce49b4cf1fd2bffe88caf2d383e231a5fc9f045a6f1690af1b03e537ceb02"],
);

let rom = vec![
Expand All @@ -617,7 +617,7 @@ fn test_supernova_pp_digest() {

test_pp_digest_with::<Bn256Engine, _>(
&test_rom_grumpkin,
&expect!["d439e957618eb071360f9c87c0014fd0cfa21f1271813004d18f967355912a01"],
&expect!["012e1aedc830794c3d992d079d413c1196b7263879b1c60787cf72d2e4364a03"],
);

let rom = vec![
Expand All @@ -628,7 +628,7 @@ fn test_supernova_pp_digest() {

test_pp_digest_with::<Secp256k1Engine, _>(
&test_rom_secp,
&expect!["5dfc2cc21f0a29a67ec3b3cbb7fbff535c876ef51e655f4abf4c00e058175103"],
&expect!["a289a7990924b1d5ae044a1447eb7cd246d173e13ed0e249be220216a3632c00"],
);
}

Expand Down

0 comments on commit 3e61d81

Please sign in to comment.