Skip to content
New issue

Have a question about this project? Sign up for a free GitHub account to open an issue and contact its maintainers and the community.

By clicking “Sign up for GitHub”, you agree to our terms of service and privacy statement. We’ll occasionally send you account related emails.

Already on GitHub? Sign in to your account

fix bug in repair_antennas post-DRT #2422

Merged
Merged
Show file tree
Hide file tree
Changes from all commits
Commits
File filter

Filter by extension

Filter by extension

Conversations
Failed to load comments.
Loading
Jump to
Jump to file
Failed to load files.
Loading
Diff view
Diff view
177 changes: 94 additions & 83 deletions flow/designs/gf180/aes-hybrid/metadata-base-ok.json
Original file line number Diff line number Diff line change
Expand Up @@ -5,7 +5,7 @@
],
"cts__clock__skew__hold": 0.130697,
"cts__clock__skew__setup": 0.0694262,
"cts__cpu__total": 263.36,
"cts__cpu__total": 291.41,
"cts__design__core__area": 1795040.0,
"cts__design__die__area": 1820700.0,
"cts__design__instance__area": 514227,
Expand All @@ -25,13 +25,13 @@
"cts__design__violations": 0,
"cts__flow__errors__count": 0,
"cts__flow__warnings__count": 1,
"cts__mem__peak": 1166396.0,
"cts__mem__peak": 1166352.0,
"cts__power__internal__total": 4.62009,
"cts__power__leakage__total": 3.71274e-06,
"cts__power__switching__total": 4.97471,
"cts__power__total": 9.5948,
"cts__route__wirelength__estimated": 1338820.0,
"cts__runtime__total": "4:24.45",
"cts__runtime__total": "4:52.42",
"cts__timing__drv__hold_violation_count": 0,
"cts__timing__drv__max_cap": 0,
"cts__timing__drv__max_cap_limit": 0.0456383,
Expand All @@ -43,7 +43,7 @@
"cts__timing__setup__tns": -206.234,
"cts__timing__setup__ws": -1.13809,
"design__io__hpwl": 347477526,
"detailedplace__cpu__total": 10.64,
"detailedplace__cpu__total": 11.12,
"detailedplace__design__core__area": 1795040.0,
"detailedplace__design__die__area": 1820700.0,
"detailedplace__design__instance__area": 486691,
Expand All @@ -61,13 +61,13 @@
"detailedplace__design__violations": 0,
"detailedplace__flow__errors__count": 0,
"detailedplace__flow__warnings__count": 0,
"detailedplace__mem__peak": 386400.0,
"detailedplace__mem__peak": 386048.0,
"detailedplace__power__internal__total": 4.64943,
"detailedplace__power__leakage__total": 3.52531e-06,
"detailedplace__power__switching__total": 4.77423,
"detailedplace__power__total": 9.42367,
"detailedplace__route__wirelength__estimated": 1304790.0,
"detailedplace__runtime__total": "0:10.93",
"detailedplace__runtime__total": "0:11.33",
"detailedplace__timing__drv__hold_violation_count": 0,
"detailedplace__timing__drv__max_cap": 3,
"detailedplace__timing__drv__max_cap_limit": -0.185471,
Expand All @@ -80,63 +80,74 @@
"detailedplace__timing__setup__ws": -6.53426,
"detailedroute__antenna__violating__nets": 0,
"detailedroute__antenna__violating__pins": 0,
"detailedroute__antenna_diodes_count": 14,
"detailedroute__antenna_diodes_count": 19,
"detailedroute__flow__errors__count": 0,
"detailedroute__flow__warnings__count": 8,
"detailedroute__route__drc_errors": 0,
"detailedroute__route__drc_errors__iter:1": 8031,
"detailedroute__route__drc_errors__iter:2": 1783,
"detailedroute__route__drc_errors__iter:3": 1436,
"detailedroute__route__drc_errors__iter:4": 17,
"detailedroute__route__drc_errors__iter:1": 18,
"detailedroute__route__drc_errors__iter:2": 2,
"detailedroute__route__drc_errors__iter:3": 0,
"detailedroute__route__drc_errors__iter:4": 0,
"detailedroute__route__drc_errors__iter:5": 0,
"detailedroute__route__net": 18324,
"detailedroute__route__net__special": 2,
"detailedroute__route__vias": 123324,
"detailedroute__route__vias": 123458,
"detailedroute__route__vias__multicut": 0,
"detailedroute__route__vias__singlecut": 123324,
"detailedroute__route__wirelength": 1608476,
"detailedroute__route__wirelength__iter:1": 1614281,
"detailedroute__route__wirelength__iter:2": 1610092,
"detailedroute__route__wirelength__iter:3": 1608671,
"detailedroute__route__wirelength__iter:4": 1608482,
"detailedroute__route__vias__singlecut": 123458,
"detailedroute__route__wirelength": 1608803,
"detailedroute__route__wirelength__iter:1": 1608808,
"detailedroute__route__wirelength__iter:2": 1608801,
"detailedroute__route__wirelength__iter:3": 1608803,
"detailedroute__route__wirelength__iter:4": 1608817,
"detailedroute__route__wirelength__iter:5": 1608476,
"finish__clock__skew__hold": 0.145165,
"finish__clock__skew__setup": 0.0733665,
"finish__cpu__total": 56.51,
"finish__clock__skew__hold": 0.145196,
"finish__clock__skew__setup": 0.0731503,
"finish__cpu__total": 23.74,
"finish__design__core__area": 1795040.0,
"finish__design__die__area": 1820700.0,
"finish__design__instance__area": 539357,
"finish__design__instance__area": 539385,
"finish__design__instance__area__macros": 0,
"finish__design__instance__area__stdcell": 539357,
"finish__design__instance__count": 19203,
"finish__design__instance__area__stdcell": 539385,
"finish__design__instance__count": 19208,
"finish__design__instance__count__class:antenna_cell": 43,
"finish__design__instance__count__class:buffer": 840,
"finish__design__instance__count__class:clock_buffer": 44,
"finish__design__instance__count__class:clock_inverter": 22,
"finish__design__instance__count__class:endcap_cell": 298,
"finish__design__instance__count__class:inverter": 1239,
"finish__design__instance__count__class:multi_input_combinational_cell": 13918,
"finish__design__instance__count__class:sequential_cell": 562,
"finish__design__instance__count__class:tap_cell": 1049,
"finish__design__instance__count__class:timing_repair_buffer": 1192,
"finish__design__instance__count__class:timing_repair_inverter": 1,
"finish__design__instance__count__macros": 0,
"finish__design__instance__count__stdcell": 19203,
"finish__design__instance__utilization": 0.30047,
"finish__design__instance__utilization__stdcell": 0.30047,
"finish__design__instance__count__stdcell": 19208,
"finish__design__instance__utilization": 0.300486,
"finish__design__instance__utilization__stdcell": 0.300486,
"finish__design__io": 388,
"finish__flow__errors__count": 0,
"finish__flow__warnings__count": 0,
"finish__mem__peak": 551272.0,
"finish__power__internal__total": 4.84565,
"finish__power__leakage__total": 3.86552e-06,
"finish__power__switching__total": 5.46521,
"finish__power__total": 10.3109,
"finish__runtime__total": "0:57.98",
"finish__flow__warnings__count": 1,
"finish__mem__peak": 459944.0,
"finish__power__internal__total": 4.8458,
"finish__power__leakage__total": 3.86628e-06,
"finish__power__switching__total": 5.47245,
"finish__power__total": 10.3182,
"finish__runtime__total": "0:24.13",
"finish__timing__drv__hold_violation_count": 0,
"finish__timing__drv__max_cap": 0,
"finish__timing__drv__max_cap_limit": 0.855774,
"finish__timing__drv__max_fanout": 0,
"finish__timing__drv__max_fanout_limit": 0,
"finish__timing__drv__max_slew": 0,
"finish__timing__drv__max_slew_limit": 0.457159,
"finish__timing__drv__max_slew_limit": 0.456947,
"finish__timing__drv__setup_violation_count": 179,
"finish__timing__setup__tns": -181.831,
"finish__timing__setup__ws": -1.24921,
"finish__timing__wns_percent_delay": -26.147567,
"finish_merge__cpu__total": 38.76,
"finish_merge__mem__peak": 529104.0,
"finish_merge__runtime__total": "0:39.50",
"floorplan__cpu__total": 166.85,
"finish__timing__setup__tns": -182.232,
"finish__timing__setup__ws": -1.25025,
"finish__timing__wns_percent_delay": -26.162925,
"finish_merge__cpu__total": 40.8,
"finish_merge__mem__peak": 529972.0,
"finish_merge__runtime__total": "0:41.12",
"floorplan__cpu__total": 181.43,
"floorplan__design__core__area": 1795040.0,
"floorplan__design__die__area": 1820700.0,
"floorplan__design__instance__area": 562802,
Expand All @@ -152,32 +163,32 @@
"floorplan__design__io": 388,
"floorplan__flow__errors__count": 0,
"floorplan__flow__warnings__count": 22874,
"floorplan__mem__peak": 236976.0,
"floorplan__mem__peak": 237368.0,
"floorplan__power__internal__total": 5.40465,
"floorplan__power__leakage__total": 3.58244e-06,
"floorplan__power__switching__total": 4.22537,
"floorplan__power__total": 9.63002,
"floorplan__runtime__total": "2:47.03",
"floorplan__runtime__total": "3:01.62",
"floorplan__timing__setup__tns": -69.4191,
"floorplan__timing__setup__ws": -0.640227,
"floorplan_io__cpu__total": 1.58,
"floorplan_io__mem__peak": 197608.0,
"floorplan_io__runtime__total": "0:01.71",
"floorplan_macro__cpu__total": 1.65,
"floorplan_macro__mem__peak": 197864.0,
"floorplan_macro__runtime__total": "0:01.75",
"floorplan_pdn__cpu__total": 3.88,
"floorplan_pdn__mem__peak": 218080.0,
"floorplan_pdn__runtime__total": "0:03.98",
"floorplan_tap__cpu__total": 1.66,
"floorplan_tap__mem__peak": 188204.0,
"floorplan_tap__runtime__total": "0:01.76",
"floorplan_tdms__cpu__total": 1.63,
"floorplan_tdms__mem__peak": 197860.0,
"floorplan_tdms__runtime__total": "0:01.74",
"floorplan_io__cpu__total": 1.75,
"floorplan_io__mem__peak": 198356.0,
"floorplan_io__runtime__total": "0:01.86",
"floorplan_macro__cpu__total": 1.77,
"floorplan_macro__mem__peak": 197736.0,
"floorplan_macro__runtime__total": "0:01.86",
"floorplan_pdn__cpu__total": 4.0,
"floorplan_pdn__mem__peak": 218068.0,
"floorplan_pdn__runtime__total": "0:04.25",
"floorplan_tap__cpu__total": 1.85,
"floorplan_tap__mem__peak": 188632.0,
"floorplan_tap__runtime__total": "0:01.91",
"floorplan_tdms__cpu__total": 0.11,
"floorplan_tdms__mem__peak": 100056.0,
"floorplan_tdms__runtime__total": "0:00.15",
"flow__errors__count": 0,
"flow__warnings__count": 0,
"globalplace__cpu__total": 290.94,
"globalplace__cpu__total": 270.86,
"globalplace__design__core__area": 1795040.0,
"globalplace__design__die__area": 1820700.0,
"globalplace__design__instance__area": 570405,
Expand All @@ -191,26 +202,26 @@
"globalplace__design__io": 388,
"globalplace__flow__errors__count": 0,
"globalplace__flow__warnings__count": 0,
"globalplace__mem__peak": 1005712.0,
"globalplace__mem__peak": 1005752.0,
"globalplace__power__internal__total": 6.08187,
"globalplace__power__leakage__total": 3.66391e-06,
"globalplace__power__switching__total": 5.91693,
"globalplace__power__total": 11.9988,
"globalplace__runtime__total": "1:03.58",
"globalplace__runtime__total": "1:07.69",
"globalplace__timing__setup__tns": -295.995,
"globalplace__timing__setup__ws": -2.18658,
"globalplace_io__cpu__total": 1.86,
"globalplace_io__mem__peak": 216144.0,
"globalplace_io__runtime__total": "0:01.98",
"globalplace_skip_io__cpu__total": 183.96,
"globalplace_skip_io__mem__peak": 234840.0,
"globalplace_skip_io__runtime__total": "0:07.73",
"globalplace_io__cpu__total": 1.96,
"globalplace_io__mem__peak": 216528.0,
"globalplace_io__runtime__total": "0:02.06",
"globalplace_skip_io__cpu__total": 169.47,
"globalplace_skip_io__mem__peak": 235548.0,
"globalplace_skip_io__runtime__total": "0:07.87",
"globalroute__antenna__violating__nets": 0,
"globalroute__antenna__violating__pins": 0,
"globalroute__antenna_diodes_count": 24,
"globalroute__clock__skew__hold": 0.131524,
"globalroute__clock__skew__setup": 0.0709726,
"globalroute__cpu__total": 516.3,
"globalroute__cpu__total": 565.07,
"globalroute__design__core__area": 1795040.0,
"globalroute__design__die__area": 1820700.0,
"globalroute__design__instance__area": 539278,
Expand All @@ -230,13 +241,13 @@
"globalroute__design__violations": 0,
"globalroute__flow__errors__count": 0,
"globalroute__flow__warnings__count": 1,
"globalroute__mem__peak": 1303016.0,
"globalroute__mem__peak": 1301560.0,
"globalroute__power__internal__total": 4.82767,
"globalroute__power__leakage__total": 3.8634e-06,
"globalroute__power__switching__total": 5.52034,
"globalroute__power__total": 10.348,
"globalroute__route__wirelength__estimated": 1359430.0,
"globalroute__runtime__total": "7:27.90",
"globalroute__runtime__total": "8:17.72",
"globalroute__timing__clock__slack": -1.242,
"globalroute__timing__drv__hold_violation_count": 0,
"globalroute__timing__drv__max_cap": 0,
Expand All @@ -248,7 +259,7 @@
"globalroute__timing__drv__setup_violation_count": 179,
"globalroute__timing__setup__tns": -184.255,
"globalroute__timing__setup__ws": -1.24195,
"placeopt__cpu__total": 14.3,
"placeopt__cpu__total": 14.8,
"placeopt__design__core__area": 1795040.0,
"placeopt__design__die__area": 1820700.0,
"placeopt__design__instance__area": 526474,
Expand All @@ -262,12 +273,12 @@
"placeopt__design__io": 388,
"placeopt__flow__errors__count": 0,
"placeopt__flow__warnings__count": 0,
"placeopt__mem__peak": 883600.0,
"placeopt__mem__peak": 883316.0,
"placeopt__power__internal__total": 4.56309,
"placeopt__power__leakage__total": 3.93353e-06,
"placeopt__power__switching__total": 5.08386,
"placeopt__power__total": 9.64695,
"placeopt__runtime__total": "0:15.04",
"placeopt__runtime__total": "0:15.44",
"placeopt__timing__drv__floating__nets": 0,
"placeopt__timing__drv__floating__pins": 0,
"placeopt__timing__drv__hold_violation_count": 0,
Expand All @@ -281,10 +292,10 @@
"placeopt__timing__setup__tns": -220.411,
"placeopt__timing__setup__ws": -1.64712,
"run__flow__design": "aes-hybrid",
"run__flow__generate_date": "2024-10-02 14:57",
"run__flow__generate_date": "2024-10-03 21:04",
"run__flow__metrics_version": "Metrics_2.1.2",
"run__flow__openroad_commit": "N/A",
"run__flow__openroad_version": "v2.0-16219-g56c3c86ba",
"run__flow__openroad_version": "v2.0-16239-ge23ebb9f9",
"run__flow__platform": "gf180",
"run__flow__platform__capacitance_units": "1pF",
"run__flow__platform__current_units": "1mA",
Expand All @@ -293,14 +304,14 @@
"run__flow__platform__resistance_units": "1ohm",
"run__flow__platform__time_units": "1ns",
"run__flow__platform__voltage_units": "1v",
"run__flow__platform_commit": "65658416797b4133a851af2929195c92278dfe1b",
"run__flow__scripts_commit": "65658416797b4133a851af2929195c92278dfe1b",
"run__flow__uuid": "8d752a87-e4d2-40f6-95cc-da0ace1dcaf3",
"run__flow__platform_commit": "N/A",
"run__flow__scripts_commit": "not a git repo",
"run__flow__uuid": "2bbf91fa-0f5f-493a-8d9c-98667ec5a2c5",
"run__flow__variant": "base",
"synth__cpu__total": 20.86,
"synth__cpu__total": 23.25,
"synth__design__instance__area__stdcell": 542978.9568,
"synth__design__instance__count__stdcell": 16498.0,
"synth__mem__peak": 336920.0,
"synth__runtime__total": "0:21.43",
"total_time": "0:18:28.490000"
"synth__mem__peak": 336652.0,
"synth__runtime__total": "0:23.65",
"total_time": "0:19:35.080000"
}
2 changes: 1 addition & 1 deletion flow/designs/gf180/aes-hybrid/rules-base.json
Original file line number Diff line number Diff line change
Expand Up @@ -44,7 +44,7 @@
"compare": "<="
},
"detailedroute__antenna_diodes_count": {
"value": 16,
"value": 22,
"compare": "<="
},
"finish__timing__setup__ws": {
Expand Down
Loading
Loading