Skip to content

Commit

Permalink
Updated modules and subworkflows
Browse files Browse the repository at this point in the history
  • Loading branch information
GallVp committed Feb 28, 2024
1 parent cb325c0 commit 39f6854
Show file tree
Hide file tree
Showing 15 changed files with 414 additions and 158 deletions.
12 changes: 6 additions & 6 deletions modules.json
Original file line number Diff line number Diff line change
Expand Up @@ -7,17 +7,17 @@
"pfr": {
"bwa/index": {
"branch": "main",
"git_sha": "4b9da80b1e4c16067babd97554bea42d7cd9ca85",
"git_sha": "6410ddc6dfcee5ed480f47199cbd527662cdf5fe",
"installed_by": ["fastq_bwa_mem_samblaster"]
},
"bwa/mem": {
"branch": "main",
"git_sha": "835879b8f174bb4d2c5534d0381ffbe62cc1b060",
"git_sha": "6410ddc6dfcee5ed480f47199cbd527662cdf5fe",
"installed_by": ["fastq_bwa_mem_samblaster"]
},
"cat/cat": {
"branch": "main",
"git_sha": "4b9da80b1e4c16067babd97554bea42d7cd9ca85",
"git_sha": "6410ddc6dfcee5ed480f47199cbd527662cdf5fe",
"installed_by": ["fasta_ltrretriever_lai"]
},
"custom/checkgff3fastacorrespondence": {
Expand Down Expand Up @@ -57,7 +57,7 @@
},
"ltrharvest": {
"branch": "main",
"git_sha": "835879b8f174bb4d2c5534d0381ffbe62cc1b060",
"git_sha": "6410ddc6dfcee5ed480f47199cbd527662cdf5fe",
"installed_by": ["fasta_ltrretriever_lai"]
},
"ltrretriever/lai": {
Expand All @@ -72,7 +72,7 @@
},
"samblaster": {
"branch": "main",
"git_sha": "73358a6712178b9a67c39f92e65e8144b5880eae",
"git_sha": "6410ddc6dfcee5ed480f47199cbd527662cdf5fe",
"installed_by": ["fastq_bwa_mem_samblaster"]
}
}
Expand All @@ -81,7 +81,7 @@
"pfr": {
"fasta_ltrretriever_lai": {
"branch": "main",
"git_sha": "154661d7c1769532ff7b5f11259644ec200dd47d",
"git_sha": "60ee50c79f8f868fe0b2e48cba543ad1d8fa89b2",
"installed_by": ["subworkflows"]
},
"fastq_bwa_mem_samblaster": {
Expand Down
2 changes: 1 addition & 1 deletion modules/pfr/bwa/index/tests/main.nf.test
Original file line number Diff line number Diff line change
Expand Up @@ -15,7 +15,7 @@ nextflow_process {
"""
input[0] = [
[id: 'test'],
file(params.test_data['sarscov2']['genome']['genome_fasta'], checkIfExists: true)
file(params.modules_testdata_base_path + 'genomics/sarscov2/genome/genome.fasta', checkIfExists: true)
]
"""
}
Expand Down
20 changes: 10 additions & 10 deletions modules/pfr/bwa/mem/tests/main.nf.test
Original file line number Diff line number Diff line change
Expand Up @@ -18,7 +18,7 @@ nextflow_process {
"""
input[0] = [
[id: 'test'],
file(params.test_data['sarscov2']['genome']['genome_fasta'], checkIfExists: true)
file(params.modules_testdata_base_path + 'genomics/sarscov2/genome/genome.fasta', checkIfExists: true)
]
"""
}
Expand All @@ -31,7 +31,7 @@ nextflow_process {
input[0] = [
[ id:'test', single_end:true ], // meta map
[
file(params.test_data['sarscov2']['illumina']['test_1_fastq_gz'], checkIfExists: true)
file(params.modules_testdata_base_path + 'genomics/sarscov2/illumina/fastq/test_1.fastq.gz', checkIfExists: true)
]
]
input[1] = BWA_INDEX.out.index
Expand All @@ -58,7 +58,7 @@ nextflow_process {
"""
input[0] = [
[id: 'test'],
file(params.test_data['sarscov2']['genome']['genome_fasta'], checkIfExists: true)
file(params.modules_testdata_base_path + 'genomics/sarscov2/genome/genome.fasta', checkIfExists: true)
]
"""
}
Expand All @@ -71,7 +71,7 @@ nextflow_process {
input[0] = [
[ id:'test', single_end:true ], // meta map
[
file(params.test_data['sarscov2']['illumina']['test_1_fastq_gz'], checkIfExists: true)
file(params.modules_testdata_base_path + 'genomics/sarscov2/illumina/fastq/test_1.fastq.gz', checkIfExists: true)
]
]
input[1] = BWA_INDEX.out.index
Expand All @@ -98,7 +98,7 @@ nextflow_process {
"""
input[0] = [
[id: 'test'],
file(params.test_data['sarscov2']['genome']['genome_fasta'], checkIfExists: true)
file(params.modules_testdata_base_path + 'genomics/sarscov2/genome/genome.fasta', checkIfExists: true)
]
"""
}
Expand All @@ -111,8 +111,8 @@ nextflow_process {
input[0] = [
[ id:'test', single_end:false ], // meta map
[
file(params.test_data['sarscov2']['illumina']['test_1_fastq_gz'], checkIfExists: true),
file(params.test_data['sarscov2']['illumina']['test_2_fastq_gz'], checkIfExists: true)
file(params.modules_testdata_base_path + 'genomics/sarscov2/illumina/fastq/test_1.fastq.gz', checkIfExists: true),
file(params.modules_testdata_base_path + 'genomics/sarscov2/illumina/fastq/test_2.fastq.gz', checkIfExists: true)
]
]
input[1] = BWA_INDEX.out.index
Expand All @@ -139,7 +139,7 @@ nextflow_process {
"""
input[0] = [
[id: 'test'],
file(params.test_data['sarscov2']['genome']['genome_fasta'], checkIfExists: true)
file(params.modules_testdata_base_path + 'genomics/sarscov2/genome/genome.fasta', checkIfExists: true)
]
"""
}
Expand All @@ -152,8 +152,8 @@ nextflow_process {
input[0] = [
[ id:'test', single_end:false ], // meta map
[
file(params.test_data['sarscov2']['illumina']['test_1_fastq_gz'], checkIfExists: true),
file(params.test_data['sarscov2']['illumina']['test_2_fastq_gz'], checkIfExists: true)
file(params.modules_testdata_base_path + 'genomics/sarscov2/illumina/fastq/test_1.fastq.gz', checkIfExists: true),
file(params.modules_testdata_base_path + 'genomics/sarscov2/illumina/fastq/test_2.fastq.gz', checkIfExists: true)
]
]
input[1] = BWA_INDEX.out.index
Expand Down
29 changes: 15 additions & 14 deletions modules/pfr/cat/cat/tests/main.nf.test
Original file line number Diff line number Diff line change
Expand Up @@ -19,8 +19,8 @@ nextflow_process {
[
[ id:'genome', single_end:true ],
[
file(params.test_data['sarscov2']['genome']['genome_fasta'], checkIfExists: true),
file(params.test_data['sarscov2']['genome']['genome_sizes'], checkIfExists: true)
file(params.modules_testdata_base_path + 'genomics/sarscov2/genome/genome.fasta', checkIfExists: true),
file(params.modules_testdata_base_path + 'genomics/sarscov2/genome/genome.sizes', checkIfExists: true)
]
]
"""
Expand All @@ -45,8 +45,8 @@ nextflow_process {
[
[ id:'test', single_end:true ],
[
file(params.test_data['sarscov2']['genome']['genome_fasta'], checkIfExists: true),
file(params.test_data['sarscov2']['genome']['genome_sizes'], checkIfExists: true)
file(params.modules_testdata_base_path + 'genomics/sarscov2/genome/genome.fasta', checkIfExists: true),
file(params.modules_testdata_base_path + 'genomics/sarscov2/genome/genome.sizes', checkIfExists: true)
]
]
"""
Expand All @@ -72,8 +72,8 @@ nextflow_process {
[
[ id:'test', single_end:true ],
[
file(params.test_data['sarscov2']['genome']['genome_gff3_gz'], checkIfExists: true),
file(params.test_data['sarscov2']['genome']['contigs_genome_maf_gz'], checkIfExists: true)
file(params.modules_testdata_base_path + 'genomics/sarscov2/genome/genome.gff3.gz', checkIfExists: true),
file(params.modules_testdata_base_path + 'genomics/sarscov2/genome/alignment/last/contigs.genome.maf.gz', checkIfExists: true)
]
]
"""
Expand All @@ -83,7 +83,8 @@ nextflow_process {
def lines = path(process.out.file_out.get(0).get(1)).linesGzip
assertAll(
{ assert process.success },
{ assert snapshot(process.out).match() }
{ assert snapshot(lines[0..5]).match("test_cat_zipped_zipped_lines") },
{ assert snapshot(lines.size()).match("test_cat_zipped_zipped_size")}
)
}
}
Expand All @@ -101,8 +102,8 @@ nextflow_process {
[
[ id:'test', single_end:true ],
[
file(params.test_data['sarscov2']['genome']['genome_gff3_gz'], checkIfExists: true),
file(params.test_data['sarscov2']['genome']['contigs_genome_maf_gz'], checkIfExists: true)
file(params.modules_testdata_base_path + 'genomics/sarscov2/genome/genome.gff3.gz', checkIfExists: true),
file(params.modules_testdata_base_path + 'genomics/sarscov2/genome/alignment/last/contigs.genome.maf.gz', checkIfExists: true)
]
]
"""
Expand Down Expand Up @@ -130,8 +131,8 @@ nextflow_process {
[
[ id:'test', single_end:true ],
[
file(params.test_data['sarscov2']['genome']['genome_fasta'], checkIfExists: true),
file(params.test_data['sarscov2']['genome']['genome_sizes'], checkIfExists: true)
file(params.modules_testdata_base_path + 'genomics/sarscov2/genome/genome.fasta', checkIfExists: true),
file(params.modules_testdata_base_path + 'genomics/sarscov2/genome/genome.sizes', checkIfExists: true)
]
]
"""
Expand All @@ -141,7 +142,8 @@ nextflow_process {
def lines = path(process.out.file_out.get(0).get(1)).linesGzip
assertAll(
{ assert process.success },
{ assert snapshot(process.out).match() }
{ assert snapshot(lines[0..5]).match("test_cat_unzipped_zipped_lines") },
{ assert snapshot(lines.size()).match("test_cat_unzipped_zipped_size")}
)
}
}
Expand All @@ -158,7 +160,7 @@ nextflow_process {
[
[ id:'test', single_end:true ],
[
file(params.test_data['sarscov2']['genome']['genome_fasta'], checkIfExists: true)
file(params.modules_testdata_base_path + 'genomics/sarscov2/genome/genome.fasta', checkIfExists: true)
]
]
"""
Expand All @@ -174,4 +176,3 @@ nextflow_process {
}
}
}

92 changes: 34 additions & 58 deletions modules/pfr/cat/cat/tests/main.nf.test.snap
Original file line number Diff line number Diff line change
@@ -1,4 +1,10 @@
{
"test_cat_unzipped_zipped_size": {
"content": [
375
],
"timestamp": "2023-10-16T14:33:08.049445686"
},
"test_cat_unzipped_unzipped": {
"content": [
{
Expand Down Expand Up @@ -61,36 +67,31 @@
],
"timestamp": "2023-10-16T14:32:49.642741302"
},
"test_cat_zipped_zipped": {
"test_cat_zipped_zipped_lines": {
"content": [
{
"0": [
[
{
"id": "test",
"single_end": true
},
"test.gff3.gz:md5,c439d3b60e7bc03e8802a451a0d9a5d9"
]
],
"1": [
"versions.yml:md5,115ed6177ebcff24eb99d503fa5ef894"
],
"file_out": [
[
{
"id": "test",
"single_end": true
},
"test.gff3.gz:md5,c439d3b60e7bc03e8802a451a0d9a5d9"
]
],
"versions": [
"versions.yml:md5,115ed6177ebcff24eb99d503fa5ef894"
]
}
[
"MT192765.1\tGenbank\ttranscript\t259\t29667\t.\t+\t.\tID=unknown_transcript_1;geneID=orf1ab;gene_name=orf1ab",
"MT192765.1\tGenbank\tgene\t259\t21548\t.\t+\t.\tParent=unknown_transcript_1",
"MT192765.1\tGenbank\tCDS\t259\t13461\t.\t+\t0\tParent=unknown_transcript_1;exception=\"ribosomal slippage\";gbkey=CDS;gene=orf1ab;note=\"pp1ab;translated=by -1 ribosomal frameshift\";product=\"orf1ab polyprotein\";protein_id=QIK50426.1",
"MT192765.1\tGenbank\tCDS\t13461\t21548\t.\t+\t0\tParent=unknown_transcript_1;exception=\"ribosomal slippage\";gbkey=CDS;gene=orf1ab;note=\"pp1ab;translated=by -1 ribosomal frameshift\";product=\"orf1ab polyprotein\";protein_id=QIK50426.1",
"MT192765.1\tGenbank\tCDS\t21556\t25377\t.\t+\t0\tParent=unknown_transcript_1;gbkey=CDS;gene=S;note=\"structural protein\";product=\"surface glycoprotein\";protein_id=QIK50427.1",
"MT192765.1\tGenbank\tgene\t21556\t25377\t.\t+\t.\tParent=unknown_transcript_1"
]
],
"timestamp": "2023-10-16T14:32:33.629048645"
},
"test_cat_unzipped_zipped_lines": {
"content": [
[
">MT192765.1 Severe acute respiratory syndrome coronavirus 2 isolate SARS-CoV-2/human/USA/PC00101P/2020, complete genome",
"GTTTATACCTTCCCAGGTAACAAACCAACCAACTTTCGATCTCTTGTAGATCTGTTCTCTAAACGAACTTTAAAATCTGT",
"GTGGCTGTCACTCGGCTGCATGCTTAGTGCACTCACGCAGTATAATTAATAACTAATTACTGTCGTTGACAGGACACGAG",
"TAACTCGTCTATCTTCTGCAGGCTGCTTACGGTTTCGTCCGTGTTGCAGCCGATCATCAGCACATCTAGGTTTTGTCCGG",
"GTGTGACCGAAAGGTAAGATGGAGAGCCTTGTCCCTGGTTTCAACGAGAAAACACACGTCCAACTCAGTTTGCCTGTTTT",
"ACAGGTTCGCGACGTGCTCGTACGTGGCTTTGGAGACTCCGTGGAGGAGGTCTTATCAGAGGCACGTCAACATCTTAAAG"
]
],
"timestamp": "2024-01-12T14:02:02.999254641"
"timestamp": "2023-10-16T14:33:08.038830506"
},
"test_cat_one_file_unzipped_zipped_lines": {
"content": [
Expand All @@ -105,41 +106,16 @@
],
"timestamp": "2023-10-16T14:33:21.39642399"
},
"test_cat_unzipped_zipped": {
"test_cat_zipped_zipped_size": {
"content": [
{
"0": [
[
{
"id": "test",
"single_end": true
},
"cat.txt.gz:md5,f44b33a0e441ad58b2d3700270e2dbe2"
]
],
"1": [
"versions.yml:md5,115ed6177ebcff24eb99d503fa5ef894"
],
"file_out": [
[
{
"id": "test",
"single_end": true
},
"cat.txt.gz:md5,f44b33a0e441ad58b2d3700270e2dbe2"
]
],
"versions": [
"versions.yml:md5,115ed6177ebcff24eb99d503fa5ef894"
]
}
78
],
"timestamp": "2024-01-12T14:08:26.948048418"
"timestamp": "2023-10-16T14:32:33.641869244"
},
"test_cat_one_file_unzipped_zipped_size": {
"content": [
374
],
"timestamp": "2024-01-12T14:10:22.445700266"
"timestamp": "2023-10-16T14:33:21.4094373"
}
}
}
13 changes: 6 additions & 7 deletions modules/pfr/ltrharvest/meta.yml
Original file line number Diff line number Diff line change
Expand Up @@ -12,13 +12,12 @@ keywords:
- transposons
- retrotransposons
tools:
- "edta":
description: Extensive de-novo TE Annotator (EDTA)
homepage: "https://github.com/oushujun/EDTA"
documentation: "https://github.com/oushujun/EDTA"
tool_dev_url: "https://github.com/oushujun/EDTA"
doi: "10.1186/s13059-019-1905-y"
licence: ["GPL v3"]
- "LTR_HARVEST_parallel":
description: A Perl wrapper for LTR_harvest
homepage: "https://github.com/oushujun/EDTA/tree/v2.2.0/bin/LTR_HARVEST_parallel"
documentation: "https://github.com/oushujun/EDTA/tree/v2.2.0/bin/LTR_HARVEST_parallel"
tool_dev_url: "https://github.com/oushujun/EDTA/tree/v2.2.0/bin/LTR_HARVEST_parallel"
licence: ["MIT"]
- "gt":
description: "The GenomeTools genome analysis system"
homepage: "https://genometools.org/index.html"
Expand Down
4 changes: 3 additions & 1 deletion modules/pfr/samblaster/environment.yml
Original file line number Diff line number Diff line change
@@ -1,9 +1,11 @@
name: samblaster

channels:
- conda-forge
- bioconda
- defaults

dependencies:
- bioconda::htslib=1.19.1
- bioconda::samblaster=0.1.26
- bioconda::samtools=1.19.2
- bioconda::htslib=1.19.1
1 change: 1 addition & 0 deletions modules/pfr/samblaster/meta.yml
Original file line number Diff line number Diff line change
Expand Up @@ -51,3 +51,4 @@ authors:
- "@lescai"
maintainers:
- "@lescai"
- "@gallvp"
Loading

0 comments on commit 39f6854

Please sign in to comment.