Skip to content
New issue

Have a question about this project? Sign up for a free GitHub account to open an issue and contact its maintainers and the community.

By clicking “Sign up for GitHub”, you agree to our terms of service and privacy statement. We’ll occasionally send you account related emails.

Already on GitHub? Sign in to your account

Add sim model of uds_rom to allow linting #260

Open
dehanj opened this issue Aug 30, 2024 · 0 comments
Open

Add sim model of uds_rom to allow linting #260

dehanj opened this issue Aug 30, 2024 · 0 comments
Labels
bug Something isn't working

Comments

@dehanj
Copy link
Member

dehanj commented Aug 30, 2024

Currently it is not possible to lint the UDS core, due to a missing model of the uds_rom.

iverilog -Wall -o top.sim ../tb/tb_uds.v ../rtl/uds.v
../rtl/uds.v:53: error: Unknown module type: uds_rom
2 error(s) during elaboration.
*** These modules were missing:
        uds_rom referenced 1 times.
***
make: *** [Makefile:28: top.sim] Error 2
@dehanj dehanj added the bug Something isn't working label Aug 30, 2024
@dehanj dehanj mentioned this issue Oct 10, 2024
7 tasks
Sign up for free to join this conversation on GitHub. Already have an account? Sign in to comment
Labels
bug Something isn't working
Projects
None yet
Development

No branches or pull requests

1 participant