Skip to content
New issue

Have a question about this project? Sign up for a free GitHub account to open an issue and contact its maintainers and the community.

By clicking “Sign up for GitHub”, you agree to our terms of service and privacy statement. We’ll occasionally send you account related emails.

Already on GitHub? Sign in to your account

should work with verilator *** FAILED *** #263

Open
medabme opened this issue Sep 27, 2019 · 0 comments
Open

should work with verilator *** FAILED *** #263

medabme opened this issue Sep 27, 2019 · 0 comments

Comments

@medabme
Copy link

medabme commented Sep 27, 2019

I got a problem in the test code of ComplexMemory.
Here is the part of the code that cosed the error:

"should work with verilator" in { iotesters.Driver.execute( args = Array("--backend-name", "verilator", "--target-dir", targetDirName, "--top-name", "complex_mem_test"), dut = () => new ComplexMemory(memoryDepth = 65536) ) { c => new ComplexMemoryTester(c) } should be(true) } }

Here is the complete output:

[info] [0.018] Elaborating design...
[info] [0.731] Done elaborating.
Total FIRRTL Compile Time: 1977.1 ms
cd /home/med/workspace/ChiselProjects/convolution/test_run_dir/complex_mem_test && verilator --cc ComplexMemory.v --assert -Wno-fatal -Wno-WIDTH -Wno-STMTDLY -O1 --top-module ComplexMemory +define+TOP_TYPE=VComplexMemory +define+PRINTF_COND=!ComplexMemory.reset +define+STOP_COND=!ComplexMemory.reset -CFLAGS "-Wno-undefined-bool-conversion -O1 -DTOP_TYPE=VComplexMemory -DVL_USER_FINISH -include VComplexMemory.h" -Mdir /home/med/workspace/ChiselProjects/convolution/test_run_dir/complex_mem_test -f /home/med/workspace/ChiselProjects/convolution/test_run_dir/complex_mem_test/firrtl_black_box_resource_files.f --exe /home/med/workspace/ChiselProjects/convolution/test_run_dir/complex_mem_test/ComplexMemory-harness.cpp --trace
make: Entering directory '/home/med/workspace/ChiselProjects/convolution/test_run_dir/complex_mem_test'
g++ -I. -MMD -I/usr/local/share/verilator/include -I/usr/local/share/verilator/include/vltstd -DVL_PRINTF=printf -DVM_COVERAGE=0 -DVM_SC=0 -DVM_TRACE=1 -faligned-new -Wno-bool-operation -Wno-sign-compare -Wno-uninitialized -Wno-unused-but-set-variable -Wno-unused-parameter -Wno-unused-variable -Wno-shadow -Wno-undefined-bool-conversion -O1 -DTOP_TYPE=VComplexMemory -DVL_USER_FINISH -include VComplexMemory.h -c -o ComplexMemory-harness.o /home/med/workspace/ChiselProjects/convolution/test_run_dir/complex_mem_test/ComplexMemory-harness.cpp
g++ -I. -MMD -I/usr/local/share/verilator/include -I/usr/local/share/verilator/include/vltstd -DVL_PRINTF=printf -DVM_COVERAGE=0 -DVM_SC=0 -DVM_TRACE=1 -faligned-new -Wno-bool-operation -Wno-sign-compare -Wno-uninitialized -Wno-unused-but-set-variable -Wno-unused-parameter -Wno-unused-variable -Wno-shadow -Wno-undefined-bool-conversion -O1 -DTOP_TYPE=VComplexMemory -DVL_USER_FINISH -include VComplexMemory.h -c -o verilated.o /usr/local/share/verilator/include/verilated.cpp
g++ -I. -MMD -I/usr/local/share/verilator/include -I/usr/local/share/verilator/include/vltstd -DVL_PRINTF=printf -DVM_COVERAGE=0 -DVM_SC=0 -DVM_TRACE=1 -faligned-new -Wno-bool-operation -Wno-sign-compare -Wno-uninitialized -Wno-unused-but-set-variable -Wno-unused-parameter -Wno-unused-variable -Wno-shadow -Wno-undefined-bool-conversion -O1 -DTOP_TYPE=VComplexMemory -DVL_USER_FINISH -include VComplexMemory.h -c -o verilated_vcd_c.o /usr/local/share/verilator/include/verilated_vcd_c.cpp
/usr/bin/perl /usr/local/share/verilator/bin/verilator_includer -DVL_INCLUDE_OPT=include VComplexMemory.cpp VComplexMemory___024unit.cpp > VComplexMemory__ALLcls.cpp
/usr/bin/perl /usr/local/share/verilator/bin/verilator_includer -DVL_INCLUDE_OPT=include VComplexMemory__Trace.cpp VComplexMemory__Syms.cpp VComplexMemory__Trace__Slow.cpp > VComplexMemory__ALLsup.cpp
g++ -I. -MMD -I/usr/local/share/verilator/include -I/usr/local/share/verilator/include/vltstd -DVL_PRINTF=printf -DVM_COVERAGE=0 -DVM_SC=0 -DVM_TRACE=1 -faligned-new -Wno-bool-operation -Wno-sign-compare -Wno-uninitialized -Wno-unused-but-set-variable -Wno-unused-parameter -Wno-unused-variable -Wno-shadow -Wno-undefined-bool-conversion -O1 -DTOP_TYPE=VComplexMemory -DVL_USER_FINISH -include VComplexMemory.h -c -o VComplexMemory__ALLsup.o VComplexMemory__ALLsup.cpp
g++ -I. -MMD -I/usr/local/share/verilator/include -I/usr/local/share/verilator/include/vltstd -DVL_PRINTF=printf -DVM_COVERAGE=0 -DVM_SC=0 -DVM_TRACE=1 -faligned-new -Wno-bool-operation -Wno-sign-compare -Wno-uninitialized -Wno-unused-but-set-variable -Wno-unused-parameter -Wno-unused-variable -Wno-shadow -Wno-undefined-bool-conversion -O1 -DTOP_TYPE=VComplexMemory -DVL_USER_FINISH -include VComplexMemory.h -c -o VComplexMemory__ALLcls.o VComplexMemory__ALLcls.cpp
Archiving VComplexMemory__ALL.a ...
ar r VComplexMemory__ALL.a VComplexMemory__ALLcls.o VComplexMemory__ALLsup.o
ranlib VComplexMemory__ALL.a
g++ ComplexMemory-harness.o verilated.o verilated_vcd_c.o VComplexMemory__ALL.a -o VComplexMemory -lm -lstdc++
make: Leaving directory '/home/med/workspace/ChiselProjects/convolution/test_run_dir/complex_mem_test'
sim start on Dell at Fri Sep 27 10:20:01 2019
inChannelName: 00004200.in
outChannelName: 00004200.out
cmdChannelName: 00004200.cmd
STARTING test_run_dir/complex_mem_test/VComplexMemory
Enabling waves..%Error: /resources/cameraman_a.bin:0: $readmem file not found
Aborting...
[info] GaussianBlurSpec:
[info] memory loading should be possible with complex memories
[info] - should work with verilator *** FAILED ***
[info] chisel3.iotesters.TestApplicationException: test application exit - exit code 134
[info] at chisel3.iotesters.SimApiInterface.throwExceptionIfDead(SimApiInterface.scala:98)
[info] at chisel3.iotesters.SimApiInterface.chisel3$iotesters$SimApiInterface$$mwhile(SimApiInterface.scala:107)
[info] at chisel3.iotesters.SimApiInterface$$anonfun$start$3.apply$mcVI$sp(SimApiInterface.scala:268)
[info] at scala.collection.immutable.Range.foreach$mVc$sp(Range.scala:160)
[info] at chisel3.iotesters.SimApiInterface.start(SimApiInterface.scala:266)
[info] at chisel3.iotesters.SimApiInterface.(SimApiInterface.scala:325)
[info] at chisel3.iotesters.VerilatorBackend.(VerilatorBackend.scala:295)
[info] at chisel3.iotesters.setupVerilatorBackend$.apply(VerilatorBackend.scala:284)
[info] at chisel3.iotesters.Driver$$anonfun$execute$1$$anonfun$apply$mcZ$sp$1.apply$mcZ$sp(Driver.scala:56)
[info] at chisel3.iotesters.Driver$$anonfun$execute$1$$anonfun$apply$mcZ$sp$1.apply(Driver.scala:39)
[info] ...
[info] ScalaTest
[info] Run completed in 46 seconds, 822 milliseconds.
[info] Total number of tests run: 1
[info] Suites: completed 1, aborted 0
[info] Tests: succeeded 0, failed 1, canceled 0, ignored 0, pending 0
[info] *** 1 TEST FAILED ***
[error] Failed: Total 1, Failed 1, Errors 0, Passed 0
[error] Failed tests:
[error] util.GaussianBlurSpec
[error] (Test / testOnly) sbt.TestsFailedException: Tests unsuccessful
[error] Total time: 60 s, completed Sep 27, 2019 10:20:19 AM

Sign up for free to join this conversation on GitHub. Already have an account? Sign in to comment
Labels
None yet
Projects
None yet
Development

No branches or pull requests

1 participant