diff --git a/flow/designs/gf180/aes-hybrid/metadata-base-ok.json b/flow/designs/gf180/aes-hybrid/metadata-base-ok.json index 440be36ce5..e57f79f303 100644 --- a/flow/designs/gf180/aes-hybrid/metadata-base-ok.json +++ b/flow/designs/gf180/aes-hybrid/metadata-base-ok.json @@ -5,7 +5,7 @@ ], "cts__clock__skew__hold": 0.130697, "cts__clock__skew__setup": 0.0694262, - "cts__cpu__total": 263.36, + "cts__cpu__total": 291.41, "cts__design__core__area": 1795040.0, "cts__design__die__area": 1820700.0, "cts__design__instance__area": 514227, @@ -25,13 +25,13 @@ "cts__design__violations": 0, "cts__flow__errors__count": 0, "cts__flow__warnings__count": 1, - "cts__mem__peak": 1166396.0, + "cts__mem__peak": 1166352.0, "cts__power__internal__total": 4.62009, "cts__power__leakage__total": 3.71274e-06, "cts__power__switching__total": 4.97471, "cts__power__total": 9.5948, "cts__route__wirelength__estimated": 1338820.0, - "cts__runtime__total": "4:24.45", + "cts__runtime__total": "4:52.42", "cts__timing__drv__hold_violation_count": 0, "cts__timing__drv__max_cap": 0, "cts__timing__drv__max_cap_limit": 0.0456383, @@ -43,7 +43,7 @@ "cts__timing__setup__tns": -206.234, "cts__timing__setup__ws": -1.13809, "design__io__hpwl": 347477526, - "detailedplace__cpu__total": 10.64, + "detailedplace__cpu__total": 11.12, "detailedplace__design__core__area": 1795040.0, "detailedplace__design__die__area": 1820700.0, "detailedplace__design__instance__area": 486691, @@ -61,13 +61,13 @@ "detailedplace__design__violations": 0, "detailedplace__flow__errors__count": 0, "detailedplace__flow__warnings__count": 0, - "detailedplace__mem__peak": 386400.0, + "detailedplace__mem__peak": 386048.0, "detailedplace__power__internal__total": 4.64943, "detailedplace__power__leakage__total": 3.52531e-06, "detailedplace__power__switching__total": 4.77423, "detailedplace__power__total": 9.42367, "detailedplace__route__wirelength__estimated": 1304790.0, - "detailedplace__runtime__total": "0:10.93", + "detailedplace__runtime__total": "0:11.33", "detailedplace__timing__drv__hold_violation_count": 0, "detailedplace__timing__drv__max_cap": 3, "detailedplace__timing__drv__max_cap_limit": -0.185471, @@ -80,63 +80,74 @@ "detailedplace__timing__setup__ws": -6.53426, "detailedroute__antenna__violating__nets": 0, "detailedroute__antenna__violating__pins": 0, - "detailedroute__antenna_diodes_count": 14, + "detailedroute__antenna_diodes_count": 19, "detailedroute__flow__errors__count": 0, "detailedroute__flow__warnings__count": 8, "detailedroute__route__drc_errors": 0, - "detailedroute__route__drc_errors__iter:1": 8031, - "detailedroute__route__drc_errors__iter:2": 1783, - "detailedroute__route__drc_errors__iter:3": 1436, - "detailedroute__route__drc_errors__iter:4": 17, + "detailedroute__route__drc_errors__iter:1": 18, + "detailedroute__route__drc_errors__iter:2": 2, + "detailedroute__route__drc_errors__iter:3": 0, + "detailedroute__route__drc_errors__iter:4": 0, "detailedroute__route__drc_errors__iter:5": 0, "detailedroute__route__net": 18324, "detailedroute__route__net__special": 2, - "detailedroute__route__vias": 123324, + "detailedroute__route__vias": 123458, "detailedroute__route__vias__multicut": 0, - "detailedroute__route__vias__singlecut": 123324, - "detailedroute__route__wirelength": 1608476, - "detailedroute__route__wirelength__iter:1": 1614281, - "detailedroute__route__wirelength__iter:2": 1610092, - "detailedroute__route__wirelength__iter:3": 1608671, - "detailedroute__route__wirelength__iter:4": 1608482, + "detailedroute__route__vias__singlecut": 123458, + "detailedroute__route__wirelength": 1608803, + "detailedroute__route__wirelength__iter:1": 1608808, + "detailedroute__route__wirelength__iter:2": 1608801, + "detailedroute__route__wirelength__iter:3": 1608803, + "detailedroute__route__wirelength__iter:4": 1608817, "detailedroute__route__wirelength__iter:5": 1608476, - "finish__clock__skew__hold": 0.145165, - "finish__clock__skew__setup": 0.0733665, - "finish__cpu__total": 56.51, + "finish__clock__skew__hold": 0.145196, + "finish__clock__skew__setup": 0.0731503, + "finish__cpu__total": 23.74, "finish__design__core__area": 1795040.0, "finish__design__die__area": 1820700.0, - "finish__design__instance__area": 539357, + "finish__design__instance__area": 539385, "finish__design__instance__area__macros": 0, - "finish__design__instance__area__stdcell": 539357, - "finish__design__instance__count": 19203, + "finish__design__instance__area__stdcell": 539385, + "finish__design__instance__count": 19208, + "finish__design__instance__count__class:antenna_cell": 43, + "finish__design__instance__count__class:buffer": 840, + "finish__design__instance__count__class:clock_buffer": 44, + "finish__design__instance__count__class:clock_inverter": 22, + "finish__design__instance__count__class:endcap_cell": 298, + "finish__design__instance__count__class:inverter": 1239, + "finish__design__instance__count__class:multi_input_combinational_cell": 13918, + "finish__design__instance__count__class:sequential_cell": 562, + "finish__design__instance__count__class:tap_cell": 1049, + "finish__design__instance__count__class:timing_repair_buffer": 1192, + "finish__design__instance__count__class:timing_repair_inverter": 1, "finish__design__instance__count__macros": 0, - "finish__design__instance__count__stdcell": 19203, - "finish__design__instance__utilization": 0.30047, - "finish__design__instance__utilization__stdcell": 0.30047, + "finish__design__instance__count__stdcell": 19208, + "finish__design__instance__utilization": 0.300486, + "finish__design__instance__utilization__stdcell": 0.300486, "finish__design__io": 388, "finish__flow__errors__count": 0, - "finish__flow__warnings__count": 0, - "finish__mem__peak": 551272.0, - "finish__power__internal__total": 4.84565, - "finish__power__leakage__total": 3.86552e-06, - "finish__power__switching__total": 5.46521, - "finish__power__total": 10.3109, - "finish__runtime__total": "0:57.98", + "finish__flow__warnings__count": 1, + "finish__mem__peak": 459944.0, + "finish__power__internal__total": 4.8458, + "finish__power__leakage__total": 3.86628e-06, + "finish__power__switching__total": 5.47245, + "finish__power__total": 10.3182, + "finish__runtime__total": "0:24.13", "finish__timing__drv__hold_violation_count": 0, "finish__timing__drv__max_cap": 0, "finish__timing__drv__max_cap_limit": 0.855774, "finish__timing__drv__max_fanout": 0, "finish__timing__drv__max_fanout_limit": 0, "finish__timing__drv__max_slew": 0, - "finish__timing__drv__max_slew_limit": 0.457159, + "finish__timing__drv__max_slew_limit": 0.456947, "finish__timing__drv__setup_violation_count": 179, - "finish__timing__setup__tns": -181.831, - "finish__timing__setup__ws": -1.24921, - "finish__timing__wns_percent_delay": -26.147567, - "finish_merge__cpu__total": 38.76, - "finish_merge__mem__peak": 529104.0, - "finish_merge__runtime__total": "0:39.50", - "floorplan__cpu__total": 166.85, + "finish__timing__setup__tns": -182.232, + "finish__timing__setup__ws": -1.25025, + "finish__timing__wns_percent_delay": -26.162925, + "finish_merge__cpu__total": 40.8, + "finish_merge__mem__peak": 529972.0, + "finish_merge__runtime__total": "0:41.12", + "floorplan__cpu__total": 181.43, "floorplan__design__core__area": 1795040.0, "floorplan__design__die__area": 1820700.0, "floorplan__design__instance__area": 562802, @@ -152,32 +163,32 @@ "floorplan__design__io": 388, "floorplan__flow__errors__count": 0, "floorplan__flow__warnings__count": 22874, - "floorplan__mem__peak": 236976.0, + "floorplan__mem__peak": 237368.0, "floorplan__power__internal__total": 5.40465, "floorplan__power__leakage__total": 3.58244e-06, "floorplan__power__switching__total": 4.22537, "floorplan__power__total": 9.63002, - "floorplan__runtime__total": "2:47.03", + "floorplan__runtime__total": "3:01.62", "floorplan__timing__setup__tns": -69.4191, "floorplan__timing__setup__ws": -0.640227, - "floorplan_io__cpu__total": 1.58, - "floorplan_io__mem__peak": 197608.0, - "floorplan_io__runtime__total": "0:01.71", - "floorplan_macro__cpu__total": 1.65, - "floorplan_macro__mem__peak": 197864.0, - "floorplan_macro__runtime__total": "0:01.75", - "floorplan_pdn__cpu__total": 3.88, - "floorplan_pdn__mem__peak": 218080.0, - "floorplan_pdn__runtime__total": "0:03.98", - "floorplan_tap__cpu__total": 1.66, - "floorplan_tap__mem__peak": 188204.0, - "floorplan_tap__runtime__total": "0:01.76", - "floorplan_tdms__cpu__total": 1.63, - "floorplan_tdms__mem__peak": 197860.0, - "floorplan_tdms__runtime__total": "0:01.74", + "floorplan_io__cpu__total": 1.75, + "floorplan_io__mem__peak": 198356.0, + "floorplan_io__runtime__total": "0:01.86", + "floorplan_macro__cpu__total": 1.77, + "floorplan_macro__mem__peak": 197736.0, + "floorplan_macro__runtime__total": "0:01.86", + "floorplan_pdn__cpu__total": 4.0, + "floorplan_pdn__mem__peak": 218068.0, + "floorplan_pdn__runtime__total": "0:04.25", + "floorplan_tap__cpu__total": 1.85, + "floorplan_tap__mem__peak": 188632.0, + "floorplan_tap__runtime__total": "0:01.91", + "floorplan_tdms__cpu__total": 0.11, + "floorplan_tdms__mem__peak": 100056.0, + "floorplan_tdms__runtime__total": "0:00.15", "flow__errors__count": 0, "flow__warnings__count": 0, - "globalplace__cpu__total": 290.94, + "globalplace__cpu__total": 270.86, "globalplace__design__core__area": 1795040.0, "globalplace__design__die__area": 1820700.0, "globalplace__design__instance__area": 570405, @@ -191,26 +202,26 @@ "globalplace__design__io": 388, "globalplace__flow__errors__count": 0, "globalplace__flow__warnings__count": 0, - "globalplace__mem__peak": 1005712.0, + "globalplace__mem__peak": 1005752.0, "globalplace__power__internal__total": 6.08187, "globalplace__power__leakage__total": 3.66391e-06, "globalplace__power__switching__total": 5.91693, "globalplace__power__total": 11.9988, - "globalplace__runtime__total": "1:03.58", + "globalplace__runtime__total": "1:07.69", "globalplace__timing__setup__tns": -295.995, "globalplace__timing__setup__ws": -2.18658, - "globalplace_io__cpu__total": 1.86, - "globalplace_io__mem__peak": 216144.0, - "globalplace_io__runtime__total": "0:01.98", - "globalplace_skip_io__cpu__total": 183.96, - "globalplace_skip_io__mem__peak": 234840.0, - "globalplace_skip_io__runtime__total": "0:07.73", + "globalplace_io__cpu__total": 1.96, + "globalplace_io__mem__peak": 216528.0, + "globalplace_io__runtime__total": "0:02.06", + "globalplace_skip_io__cpu__total": 169.47, + "globalplace_skip_io__mem__peak": 235548.0, + "globalplace_skip_io__runtime__total": "0:07.87", "globalroute__antenna__violating__nets": 0, "globalroute__antenna__violating__pins": 0, "globalroute__antenna_diodes_count": 24, "globalroute__clock__skew__hold": 0.131524, "globalroute__clock__skew__setup": 0.0709726, - "globalroute__cpu__total": 516.3, + "globalroute__cpu__total": 565.07, "globalroute__design__core__area": 1795040.0, "globalroute__design__die__area": 1820700.0, "globalroute__design__instance__area": 539278, @@ -230,13 +241,13 @@ "globalroute__design__violations": 0, "globalroute__flow__errors__count": 0, "globalroute__flow__warnings__count": 1, - "globalroute__mem__peak": 1303016.0, + "globalroute__mem__peak": 1301560.0, "globalroute__power__internal__total": 4.82767, "globalroute__power__leakage__total": 3.8634e-06, "globalroute__power__switching__total": 5.52034, "globalroute__power__total": 10.348, "globalroute__route__wirelength__estimated": 1359430.0, - "globalroute__runtime__total": "7:27.90", + "globalroute__runtime__total": "8:17.72", "globalroute__timing__clock__slack": -1.242, "globalroute__timing__drv__hold_violation_count": 0, "globalroute__timing__drv__max_cap": 0, @@ -248,7 +259,7 @@ "globalroute__timing__drv__setup_violation_count": 179, "globalroute__timing__setup__tns": -184.255, "globalroute__timing__setup__ws": -1.24195, - "placeopt__cpu__total": 14.3, + "placeopt__cpu__total": 14.8, "placeopt__design__core__area": 1795040.0, "placeopt__design__die__area": 1820700.0, "placeopt__design__instance__area": 526474, @@ -262,12 +273,12 @@ "placeopt__design__io": 388, "placeopt__flow__errors__count": 0, "placeopt__flow__warnings__count": 0, - "placeopt__mem__peak": 883600.0, + "placeopt__mem__peak": 883316.0, "placeopt__power__internal__total": 4.56309, "placeopt__power__leakage__total": 3.93353e-06, "placeopt__power__switching__total": 5.08386, "placeopt__power__total": 9.64695, - "placeopt__runtime__total": "0:15.04", + "placeopt__runtime__total": "0:15.44", "placeopt__timing__drv__floating__nets": 0, "placeopt__timing__drv__floating__pins": 0, "placeopt__timing__drv__hold_violation_count": 0, @@ -281,10 +292,10 @@ "placeopt__timing__setup__tns": -220.411, "placeopt__timing__setup__ws": -1.64712, "run__flow__design": "aes-hybrid", - "run__flow__generate_date": "2024-10-02 14:57", + "run__flow__generate_date": "2024-10-03 21:04", "run__flow__metrics_version": "Metrics_2.1.2", "run__flow__openroad_commit": "N/A", - "run__flow__openroad_version": "v2.0-16219-g56c3c86ba", + "run__flow__openroad_version": "v2.0-16239-ge23ebb9f9", "run__flow__platform": "gf180", "run__flow__platform__capacitance_units": "1pF", "run__flow__platform__current_units": "1mA", @@ -293,14 +304,14 @@ "run__flow__platform__resistance_units": "1ohm", "run__flow__platform__time_units": "1ns", "run__flow__platform__voltage_units": "1v", - "run__flow__platform_commit": "65658416797b4133a851af2929195c92278dfe1b", - "run__flow__scripts_commit": "65658416797b4133a851af2929195c92278dfe1b", - "run__flow__uuid": "8d752a87-e4d2-40f6-95cc-da0ace1dcaf3", + "run__flow__platform_commit": "N/A", + "run__flow__scripts_commit": "not a git repo", + "run__flow__uuid": "2bbf91fa-0f5f-493a-8d9c-98667ec5a2c5", "run__flow__variant": "base", - "synth__cpu__total": 20.86, + "synth__cpu__total": 23.25, "synth__design__instance__area__stdcell": 542978.9568, "synth__design__instance__count__stdcell": 16498.0, - "synth__mem__peak": 336920.0, - "synth__runtime__total": "0:21.43", - "total_time": "0:18:28.490000" + "synth__mem__peak": 336652.0, + "synth__runtime__total": "0:23.65", + "total_time": "0:19:35.080000" } \ No newline at end of file diff --git a/flow/designs/gf180/aes-hybrid/rules-base.json b/flow/designs/gf180/aes-hybrid/rules-base.json index 82c3c53620..6e78fae226 100644 --- a/flow/designs/gf180/aes-hybrid/rules-base.json +++ b/flow/designs/gf180/aes-hybrid/rules-base.json @@ -44,7 +44,7 @@ "compare": "<=" }, "detailedroute__antenna_diodes_count": { - "value": 16, + "value": 22, "compare": "<=" }, "finish__timing__setup__ws": { diff --git a/flow/designs/gf180/aes/metadata-base-ok.json b/flow/designs/gf180/aes/metadata-base-ok.json index 7cbed4702f..2323bc40dc 100644 --- a/flow/designs/gf180/aes/metadata-base-ok.json +++ b/flow/designs/gf180/aes/metadata-base-ok.json @@ -5,7 +5,7 @@ ], "cts__clock__skew__hold": 0.146048, "cts__clock__skew__setup": 0.0723069, - "cts__cpu__total": 142.85, + "cts__cpu__total": 201.93, "cts__design__core__area": 1543450.0, "cts__design__die__area": 1561350.0, "cts__design__instance__area": 653569, @@ -25,13 +25,13 @@ "cts__design__violations": 0, "cts__flow__errors__count": 0, "cts__flow__warnings__count": 1, - "cts__mem__peak": 690156.0, + "cts__mem__peak": 744672.0, "cts__power__internal__total": 6.10216, "cts__power__leakage__total": 4.13414e-06, "cts__power__switching__total": 5.9667, "cts__power__total": 12.0689, "cts__route__wirelength__estimated": 1088240.0, - "cts__runtime__total": "2:23.42", + "cts__runtime__total": "3:22.58", "cts__timing__drv__hold_violation_count": 0, "cts__timing__drv__max_cap": 0, "cts__timing__drv__max_cap_limit": 0.903495, @@ -44,7 +44,7 @@ "cts__timing__setup__ws": -1.0784, "design__io__hpwl": 348799039, "design__violations": 0, - "detailedplace__cpu__total": 13.42, + "detailedplace__cpu__total": 19.36, "detailedplace__design__core__area": 1543450.0, "detailedplace__design__die__area": 1561350.0, "detailedplace__design__instance__area": 627719, @@ -62,13 +62,13 @@ "detailedplace__design__violations": 0, "detailedplace__flow__errors__count": 0, "detailedplace__flow__warnings__count": 0, - "detailedplace__mem__peak": 257672.0, + "detailedplace__mem__peak": 313732.0, "detailedplace__power__internal__total": 5.77416, "detailedplace__power__leakage__total": 3.9816e-06, "detailedplace__power__switching__total": 5.77502, "detailedplace__power__total": 11.5492, "detailedplace__route__wirelength__estimated": 1098020.0, - "detailedplace__runtime__total": "0:13.62", + "detailedplace__runtime__total": "0:19.55", "detailedplace__timing__drv__hold_violation_count": 0, "detailedplace__timing__drv__max_cap": 0, "detailedplace__timing__drv__max_cap_limit": 0.904747, @@ -81,63 +81,75 @@ "detailedplace__timing__setup__ws": -1.27709, "detailedroute__antenna__violating__nets": 0, "detailedroute__antenna__violating__pins": 0, - "detailedroute__antenna_diodes_count": 2, + "detailedroute__antenna_diodes_count": 3, "detailedroute__flow__errors__count": 0, "detailedroute__flow__warnings__count": 8, "detailedroute__route__drc_errors": 0, - "detailedroute__route__drc_errors__iter:1": 8822, - "detailedroute__route__drc_errors__iter:2": 1890, - "detailedroute__route__drc_errors__iter:3": 1672, + "detailedroute__route__drc_errors__iter:1": 4, + "detailedroute__route__drc_errors__iter:2": 1, + "detailedroute__route__drc_errors__iter:3": 0, "detailedroute__route__drc_errors__iter:4": 54, "detailedroute__route__drc_errors__iter:5": 0, "detailedroute__route__net": 18162, "detailedroute__route__net__special": 2, - "detailedroute__route__vias": 123905, + "detailedroute__route__vias": 123929, "detailedroute__route__vias__multicut": 0, - "detailedroute__route__vias__singlecut": 123905, - "detailedroute__route__wirelength": 1316653, - "detailedroute__route__wirelength__iter:1": 1324862, - "detailedroute__route__wirelength__iter:2": 1318971, - "detailedroute__route__wirelength__iter:3": 1317209, + "detailedroute__route__vias__singlecut": 123929, + "detailedroute__route__wirelength": 1316679, + "detailedroute__route__wirelength__iter:1": 1316674, + "detailedroute__route__wirelength__iter:2": 1316679, + "detailedroute__route__wirelength__iter:3": 1316679, "detailedroute__route__wirelength__iter:4": 1316657, "detailedroute__route__wirelength__iter:5": 1316653, - "finish__clock__skew__hold": 0.173241, - "finish__clock__skew__setup": 0.0970613, - "finish__cpu__total": 17.38, + "finish__clock__skew__hold": 0.173242, + "finish__clock__skew__setup": 0.0970612, + "finish__cpu__total": 23.69, "finish__design__core__area": 1543450.0, "finish__design__die__area": 1561350.0, - "finish__design__instance__area": 677133, + "finish__design__instance__area": 677139, "finish__design__instance__area__macros": 0, - "finish__design__instance__area__stdcell": 677133, - "finish__design__instance__count": 19636, + "finish__design__instance__area__stdcell": 677139, + "finish__design__instance__count": 19637, + "finish__design__instance__count__class:antenna_cell": 4, + "finish__design__instance__count__class:buffer": 842, + "finish__design__instance__count__class:clock_buffer": 34, + "finish__design__instance__count__class:clock_inverter": 24, + "finish__design__instance__count__class:endcap_cell": 492, + "finish__design__instance__count__class:fill_cell": 25433, + "finish__design__instance__count__class:inverter": 1239, + "finish__design__instance__count__class:multi_input_combinational_cell": 13917, + "finish__design__instance__count__class:sequential_cell": 562, + "finish__design__instance__count__class:tap_cell": 1488, + "finish__design__instance__count__class:timing_repair_buffer": 1034, + "finish__design__instance__count__class:timing_repair_inverter": 1, "finish__design__instance__count__macros": 0, - "finish__design__instance__count__stdcell": 19636, - "finish__design__instance__utilization": 0.438714, - "finish__design__instance__utilization__stdcell": 0.438714, + "finish__design__instance__count__stdcell": 19637, + "finish__design__instance__utilization": 0.438717, + "finish__design__instance__utilization__stdcell": 0.438717, "finish__design__io": 388, "finish__flow__errors__count": 0, "finish__flow__warnings__count": 1, - "finish__mem__peak": 462428.0, - "finish__power__internal__total": 6.35986, - "finish__power__leakage__total": 5.81062e-06, - "finish__power__switching__total": 6.42551, - "finish__power__total": 12.7854, - "finish__runtime__total": "0:17.86", + "finish__mem__peak": 461812.0, + "finish__power__internal__total": 6.35988, + "finish__power__leakage__total": 5.81089e-06, + "finish__power__switching__total": 6.42695, + "finish__power__total": 12.7868, + "finish__runtime__total": "0:24.13", "finish__timing__drv__hold_violation_count": 0, "finish__timing__drv__max_cap": 0, "finish__timing__drv__max_cap_limit": 0.814818, "finish__timing__drv__max_fanout": 0, "finish__timing__drv__max_fanout_limit": 0, "finish__timing__drv__max_slew": 0, - "finish__timing__drv__max_slew_limit": 0.734085, + "finish__timing__drv__max_slew_limit": 0.734082, "finish__timing__drv__setup_violation_count": 160, - "finish__timing__setup__tns": -140.528, - "finish__timing__setup__ws": -1.08127, - "finish__timing__wns_percent_delay": -23.057404, - "finish_merge__cpu__total": 46.52, - "finish_merge__mem__peak": 584756.0, - "finish_merge__runtime__total": "0:46.94", - "floorplan__cpu__total": 95.45, + "finish__timing__setup__tns": -140.541, + "finish__timing__setup__ws": -1.08159, + "finish__timing__wns_percent_delay": -23.062325, + "finish_merge__cpu__total": 52.82, + "finish_merge__mem__peak": 580284.0, + "finish_merge__runtime__total": "0:53.26", + "floorplan__cpu__total": 137.73, "floorplan__design__core__area": 1543450.0, "floorplan__design__die__area": 1561350.0, "floorplan__design__instance__area": 562564, @@ -153,32 +165,32 @@ "floorplan__design__io": 388, "floorplan__flow__errors__count": 0, "floorplan__flow__warnings__count": 17957, - "floorplan__mem__peak": 202204.0, + "floorplan__mem__peak": 202636.0, "floorplan__power__internal__total": 5.41043, "floorplan__power__leakage__total": 3.57007e-06, "floorplan__power__switching__total": 4.22514, "floorplan__power__total": 9.63558, - "floorplan__runtime__total": "1:35.57", + "floorplan__runtime__total": "2:17.96", "floorplan__timing__setup__tns": -69.5879, "floorplan__timing__setup__ws": -0.605856, - "floorplan_io__cpu__total": 0.65, - "floorplan_io__mem__peak": 163772.0, - "floorplan_io__runtime__total": "0:00.74", - "floorplan_macro__cpu__total": 0.66, - "floorplan_macro__mem__peak": 163292.0, - "floorplan_macro__runtime__total": "0:00.76", - "floorplan_pdn__cpu__total": 2.14, - "floorplan_pdn__mem__peak": 189372.0, - "floorplan_pdn__runtime__total": "0:02.28", - "floorplan_tap__cpu__total": 0.66, - "floorplan_tap__mem__peak": 154560.0, - "floorplan_tap__runtime__total": "0:00.74", - "floorplan_tdms__cpu__total": 0.68, - "floorplan_tdms__mem__peak": 163524.0, - "floorplan_tdms__runtime__total": "0:00.76", + "floorplan_io__cpu__total": 1.02, + "floorplan_io__mem__peak": 163996.0, + "floorplan_io__runtime__total": "0:01.10", + "floorplan_macro__cpu__total": 1.04, + "floorplan_macro__mem__peak": 163112.0, + "floorplan_macro__runtime__total": "0:01.13", + "floorplan_pdn__cpu__total": 3.47, + "floorplan_pdn__mem__peak": 189012.0, + "floorplan_pdn__runtime__total": "0:03.62", + "floorplan_tap__cpu__total": 0.96, + "floorplan_tap__mem__peak": 154528.0, + "floorplan_tap__runtime__total": "0:01.06", + "floorplan_tdms__cpu__total": 0.11, + "floorplan_tdms__mem__peak": 99736.0, + "floorplan_tdms__runtime__total": "0:00.17", "flow__errors__count": 0, "flow__warnings__count": 0, - "globalplace__cpu__total": 372.61, + "globalplace__cpu__total": 462.07, "globalplace__design__core__area": 1543450.0, "globalplace__design__die__area": 1561350.0, "globalplace__design__instance__area": 573740, @@ -192,26 +204,26 @@ "globalplace__design__io": 388, "globalplace__flow__errors__count": 0, "globalplace__flow__warnings__count": 0, - "globalplace__mem__peak": 685524.0, + "globalplace__mem__peak": 686044.0, "globalplace__power__internal__total": 5.95047, "globalplace__power__leakage__total": 3.68982e-06, "globalplace__power__switching__total": 5.61252, "globalplace__power__total": 11.563, - "globalplace__runtime__total": "0:45.64", + "globalplace__runtime__total": "1:02.43", "globalplace__timing__setup__tns": -239.873, "globalplace__timing__setup__ws": -1.77844, - "globalplace_io__cpu__total": 0.83, - "globalplace_io__mem__peak": 188100.0, - "globalplace_io__runtime__total": "0:00.95", - "globalplace_skip_io__cpu__total": 187.0, - "globalplace_skip_io__mem__peak": 208124.0, - "globalplace_skip_io__runtime__total": "0:07.36", + "globalplace_io__cpu__total": 1.24, + "globalplace_io__mem__peak": 188328.0, + "globalplace_io__runtime__total": "0:01.35", + "globalplace_skip_io__cpu__total": 179.28, + "globalplace_skip_io__mem__peak": 208492.0, + "globalplace_skip_io__runtime__total": "0:08.20", "globalroute__antenna__violating__nets": 0, "globalroute__antenna__violating__pins": 0, "globalroute__antenna_diodes_count": 1, "globalroute__clock__skew__hold": 0.149462, "globalroute__clock__skew__setup": 0.0837186, - "globalroute__cpu__total": 244.38, + "globalroute__cpu__total": 348.6, "globalroute__design__core__area": 1543450.0, "globalroute__design__die__area": 1561350.0, "globalroute__design__instance__area": 677122, @@ -231,13 +243,13 @@ "globalroute__design__violations": 0, "globalroute__flow__errors__count": 0, "globalroute__flow__warnings__count": 1, - "globalroute__mem__peak": 836028.0, + "globalroute__mem__peak": 883464.0, "globalroute__power__internal__total": 6.34578, "globalroute__power__leakage__total": 4.27221e-06, "globalroute__power__switching__total": 6.48224, "globalroute__power__total": 12.828, "globalroute__route__wirelength__estimated": 1107250.0, - "globalroute__runtime__total": "3:20.82", + "globalroute__runtime__total": "4:36.17", "globalroute__timing__clock__slack": -1.042, "globalroute__timing__drv__hold_violation_count": 0, "globalroute__timing__drv__max_cap": 0, @@ -249,7 +261,7 @@ "globalroute__timing__drv__setup_violation_count": 160, "globalroute__timing__setup__tns": -143.229, "globalroute__timing__setup__ws": -1.04204, - "placeopt__cpu__total": 8.94, + "placeopt__cpu__total": 12.88, "placeopt__design__core__area": 1543450.0, "placeopt__design__die__area": 1561350.0, "placeopt__design__instance__area": 627719, @@ -263,12 +275,12 @@ "placeopt__design__io": 388, "placeopt__flow__errors__count": 0, "placeopt__flow__warnings__count": 0, - "placeopt__mem__peak": 544248.0, + "placeopt__mem__peak": 544684.0, "placeopt__power__internal__total": 5.77962, "placeopt__power__leakage__total": 3.9816e-06, "placeopt__power__switching__total": 5.77654, "placeopt__power__total": 11.5562, - "placeopt__runtime__total": "0:09.34", + "placeopt__runtime__total": "0:13.28", "placeopt__timing__drv__floating__nets": 0, "placeopt__timing__drv__floating__pins": 0, "placeopt__timing__drv__hold_violation_count": 0, @@ -282,10 +294,10 @@ "placeopt__timing__setup__tns": -169.213, "placeopt__timing__setup__ws": -1.26521, "run__flow__design": "aes", - "run__flow__generate_date": "2024-09-28 19:40", + "run__flow__generate_date": "2024-10-03 21:00", "run__flow__metrics_version": "Metrics_2.1.2", "run__flow__openroad_commit": "N/A", - "run__flow__openroad_version": "v2.0-16113-g7b5c8faf7", + "run__flow__openroad_version": "v2.0-16239-ge23ebb9f9", "run__flow__platform": "gf180", "run__flow__platform__capacitance_units": "1pF", "run__flow__platform__current_units": "1mA", @@ -296,12 +308,12 @@ "run__flow__platform__voltage_units": "1v", "run__flow__platform_commit": "N/A", "run__flow__scripts_commit": "not a git repo", - "run__flow__uuid": "291596bb-2e38-48bf-a3b9-a959df68717a", + "run__flow__uuid": "2b701c1b-2d5c-4769-b843-a5e5fb2300d1", "run__flow__variant": "base", - "synth__cpu__total": 15.63, + "synth__cpu__total": 24.76, "synth__design__instance__area__stdcell": 542978.9568, "synth__design__instance__count__stdcell": 16498.0, - "synth__mem__peak": 336916.0, - "synth__runtime__total": "0:15.98", - "total_time": "0:10:02.780000" + "synth__mem__peak": 336652.0, + "synth__runtime__total": "0:25.28", + "total_time": "0:13:51.270000" } \ No newline at end of file diff --git a/flow/designs/gf180/aes/rules-base.json b/flow/designs/gf180/aes/rules-base.json index 1e5efe2d57..dab9770552 100644 --- a/flow/designs/gf180/aes/rules-base.json +++ b/flow/designs/gf180/aes/rules-base.json @@ -44,7 +44,7 @@ "compare": "<=" }, "detailedroute__antenna_diodes_count": { - "value": 2, + "value": 3, "compare": "<=" }, "finish__timing__setup__ws": { diff --git a/flow/designs/gf180/ibex/metadata-base-ok.json b/flow/designs/gf180/ibex/metadata-base-ok.json index 130c6909ff..48990f1db9 100644 --- a/flow/designs/gf180/ibex/metadata-base-ok.json +++ b/flow/designs/gf180/ibex/metadata-base-ok.json @@ -5,7 +5,7 @@ ], "cts__clock__skew__hold": 6.53335, "cts__clock__skew__setup": 9.33727, - "cts__cpu__total": 1079.34, + "cts__cpu__total": 1036.93, "cts__design__core__area": 1436150.0, "cts__design__die__area": 1450880.0, "cts__design__instance__area": 815149, @@ -25,13 +25,13 @@ "cts__design__violations": 0, "cts__flow__errors__count": 0, "cts__flow__warnings__count": 1, - "cts__mem__peak": 658536.0, + "cts__mem__peak": 710384.0, "cts__power__internal__total": 2.13421, "cts__power__leakage__total": 4.88739e-06, "cts__power__switching__total": 1.45043, "cts__power__total": 3.58465, "cts__route__wirelength__estimated": 1265720.0, - "cts__runtime__total": "18:00.13", + "cts__runtime__total": "17:17.65", "cts__timing__drv__hold_violation_count": 0, "cts__timing__drv__max_cap": 0, "cts__timing__drv__max_cap_limit": 0.435791, @@ -44,7 +44,7 @@ "cts__timing__setup__ws": -1.74975, "design__io__hpwl": 129760044, "design__violations": 0, - "detailedplace__cpu__total": 27.49, + "detailedplace__cpu__total": 25.83, "detailedplace__design__core__area": 1436150.0, "detailedplace__design__die__area": 1450880.0, "detailedplace__design__instance__area": 746559, @@ -62,13 +62,13 @@ "detailedplace__design__violations": 0, "detailedplace__flow__errors__count": 0, "detailedplace__flow__warnings__count": 0, - "detailedplace__mem__peak": 257320.0, + "detailedplace__mem__peak": 309196.0, "detailedplace__power__internal__total": 1.74593, "detailedplace__power__leakage__total": 4.49012e-06, "detailedplace__power__switching__total": 1.2454, "detailedplace__power__total": 2.99133, "detailedplace__route__wirelength__estimated": 1195600.0, - "detailedplace__runtime__total": "0:27.69", + "detailedplace__runtime__total": "0:26.03", "detailedplace__timing__drv__hold_violation_count": 0, "detailedplace__timing__drv__max_cap": 0, "detailedplace__timing__drv__max_cap_limit": 0.42805, @@ -81,65 +81,78 @@ "detailedplace__timing__setup__ws": -1.74542, "detailedroute__antenna__violating__nets": 0, "detailedroute__antenna__violating__pins": 0, - "detailedroute__antenna_diodes_count": 6, + "detailedroute__antenna_diodes_count": 8, "detailedroute__flow__errors__count": 0, - "detailedroute__flow__warnings__count": 12, + "detailedroute__flow__warnings__count": 20, "detailedroute__route__drc_errors": 0, - "detailedroute__route__drc_errors__iter:1": 9098, - "detailedroute__route__drc_errors__iter:2": 1640, - "detailedroute__route__drc_errors__iter:3": 1377, - "detailedroute__route__drc_errors__iter:4": 70, + "detailedroute__route__drc_errors__iter:1": 29, + "detailedroute__route__drc_errors__iter:2": 6, + "detailedroute__route__drc_errors__iter:3": 6, + "detailedroute__route__drc_errors__iter:4": 0, "detailedroute__route__drc_errors__iter:5": 9, "detailedroute__route__drc_errors__iter:6": 0, "detailedroute__route__net": 15451, "detailedroute__route__net__special": 2, - "detailedroute__route__vias": 124662, + "detailedroute__route__vias": 124779, "detailedroute__route__vias__multicut": 0, - "detailedroute__route__vias__singlecut": 124662, - "detailedroute__route__wirelength": 1570507, - "detailedroute__route__wirelength__iter:1": 1578020, - "detailedroute__route__wirelength__iter:2": 1572351, - "detailedroute__route__wirelength__iter:3": 1570837, - "detailedroute__route__wirelength__iter:4": 1570470, + "detailedroute__route__vias__singlecut": 124779, + "detailedroute__route__wirelength": 1570716, + "detailedroute__route__wirelength__iter:1": 1570711, + "detailedroute__route__wirelength__iter:2": 1570710, + "detailedroute__route__wirelength__iter:3": 1570710, + "detailedroute__route__wirelength__iter:4": 1570716, "detailedroute__route__wirelength__iter:5": 1570490, "detailedroute__route__wirelength__iter:6": 1570507, - "finish__clock__skew__hold": 6.59201, - "finish__clock__skew__setup": 9.50513, - "finish__cpu__total": 67.57, + "finish__clock__skew__hold": 6.59177, + "finish__clock__skew__setup": 9.50491, + "finish__cpu__total": 61.63, "finish__design__core__area": 1436150.0, "finish__design__die__area": 1450880.0, - "finish__design__instance__area": 834135, + "finish__design__instance__area": 834146, "finish__design__instance__area__macros": 0, - "finish__design__instance__area__stdcell": 834135, - "finish__design__instance__count": 16694, + "finish__design__instance__area__stdcell": 834146, + "finish__design__instance__count": 16696, + "finish__design__instance__count__class:antenna_cell": 18, + "finish__design__instance__count__class:buffer": 1009, + "finish__design__instance__count__class:clock_buffer": 262, + "finish__design__instance__count__class:clock_inverter": 34, + "finish__design__instance__count__class:endcap_cell": 474, + "finish__design__instance__count__class:fill_cell": 23367, + "finish__design__instance__count__class:inverter": 952, + "finish__design__instance__count__class:multi_input_combinational_cell": 9849, + "finish__design__instance__count__class:sequential_cell": 1932, + "finish__design__instance__count__class:tap_cell": 1434, + "finish__design__instance__count__class:tie_cell": 6, + "finish__design__instance__count__class:timing_repair_buffer": 722, + "finish__design__instance__count__class:timing_repair_inverter": 4, "finish__design__instance__count__macros": 0, - "finish__design__instance__count__stdcell": 16694, - "finish__design__instance__utilization": 0.580814, - "finish__design__instance__utilization__stdcell": 0.580814, + "finish__design__instance__count__stdcell": 16696, + "finish__design__instance__utilization": 0.580822, + "finish__design__instance__utilization__stdcell": 0.580822, "finish__design__io": 264, "finish__flow__errors__count": 0, "finish__flow__warnings__count": 1, - "finish__mem__peak": 470872.0, - "finish__power__internal__total": 2.24728, - "finish__power__leakage__total": 6.41106e-06, - "finish__power__switching__total": 1.6213, - "finish__power__total": 3.86858, - "finish__runtime__total": "1:08.23", + "finish__mem__peak": 470124.0, + "finish__power__internal__total": 2.24724, + "finish__power__leakage__total": 6.41154e-06, + "finish__power__switching__total": 1.62538, + "finish__power__total": 3.87263, + "finish__runtime__total": "1:02.15", "finish__timing__drv__hold_violation_count": 0, "finish__timing__drv__max_cap": 0, - "finish__timing__drv__max_cap_limit": 0.31451, + "finish__timing__drv__max_cap_limit": 0.31444, "finish__timing__drv__max_fanout": 0, "finish__timing__drv__max_fanout_limit": 0, "finish__timing__drv__max_slew": 1119, - "finish__timing__drv__max_slew_limit": -1.91328, + "finish__timing__drv__max_slew_limit": -1.91341, "finish__timing__drv__setup_violation_count": 1030, - "finish__timing__setup__tns": -766.749, - "finish__timing__setup__ws": -1.85643, - "finish__timing__wns_percent_delay": -18.834463, - "finish_merge__cpu__total": 58.07, - "finish_merge__mem__peak": 572712.0, - "finish_merge__runtime__total": "0:58.62", - "floorplan__cpu__total": 151.26, + "finish__timing__setup__tns": -768.174, + "finish__timing__setup__ws": -1.85661, + "finish__timing__wns_percent_delay": -18.83611, + "finish_merge__cpu__total": 54.61, + "finish_merge__mem__peak": 569560.0, + "finish_merge__runtime__total": "0:55.00", + "floorplan__cpu__total": 148.33, "floorplan__design__core__area": 1436150.0, "floorplan__design__die__area": 1450880.0, "floorplan__design__instance__area": 653061, @@ -155,32 +168,32 @@ "floorplan__design__io": 264, "floorplan__flow__errors__count": 0, "floorplan__flow__warnings__count": 58, - "floorplan__mem__peak": 203432.0, + "floorplan__mem__peak": 203068.0, "floorplan__power__internal__total": 1.53012, "floorplan__power__leakage__total": 3.94623e-06, "floorplan__power__switching__total": 0.686258, "floorplan__power__total": 2.21638, - "floorplan__runtime__total": "2:31.42", + "floorplan__runtime__total": "2:28.56", "floorplan__timing__setup__tns": -0.00182787, "floorplan__timing__setup__ws": 0, "floorplan_io__cpu__total": 0.98, - "floorplan_io__mem__peak": 160896.0, - "floorplan_io__runtime__total": "0:01.09", - "floorplan_macro__cpu__total": 1.0, - "floorplan_macro__mem__peak": 160080.0, - "floorplan_macro__runtime__total": "0:01.10", - "floorplan_pdn__cpu__total": 3.21, - "floorplan_pdn__mem__peak": 184744.0, - "floorplan_pdn__runtime__total": "0:03.39", - "floorplan_tap__cpu__total": 0.97, - "floorplan_tap__mem__peak": 153236.0, - "floorplan_tap__runtime__total": "0:01.07", - "floorplan_tdms__cpu__total": 1.04, - "floorplan_tdms__mem__peak": 160940.0, - "floorplan_tdms__runtime__total": "0:01.09", + "floorplan_io__mem__peak": 160988.0, + "floorplan_io__runtime__total": "0:01.05", + "floorplan_macro__cpu__total": 0.98, + "floorplan_macro__mem__peak": 160444.0, + "floorplan_macro__runtime__total": "0:01.05", + "floorplan_pdn__cpu__total": 3.27, + "floorplan_pdn__mem__peak": 184832.0, + "floorplan_pdn__runtime__total": "0:03.37", + "floorplan_tap__cpu__total": 0.89, + "floorplan_tap__mem__peak": 152776.0, + "floorplan_tap__runtime__total": "0:01.03", + "floorplan_tdms__cpu__total": 0.08, + "floorplan_tdms__mem__peak": 100040.0, + "floorplan_tdms__runtime__total": "0:00.14", "flow__errors__count": 0, "flow__warnings__count": 0, - "globalplace__cpu__total": 298.15, + "globalplace__cpu__total": 261.97, "globalplace__design__core__area": 1436150.0, "globalplace__design__die__area": 1450880.0, "globalplace__design__instance__area": 663831, @@ -194,26 +207,26 @@ "globalplace__design__io": 264, "globalplace__flow__errors__count": 0, "globalplace__flow__warnings__count": 0, - "globalplace__mem__peak": 656348.0, + "globalplace__mem__peak": 655856.0, "globalplace__power__internal__total": 1.64455, "globalplace__power__leakage__total": 4.06163e-06, "globalplace__power__switching__total": 1.12407, "globalplace__power__total": 2.76862, - "globalplace__runtime__total": "1:24.92", + "globalplace__runtime__total": "1:20.21", "globalplace__timing__setup__tns": -2215.54, "globalplace__timing__setup__ws": -2.6687, - "globalplace_io__cpu__total": 1.2, - "globalplace_io__mem__peak": 183892.0, - "globalplace_io__runtime__total": "0:01.33", - "globalplace_skip_io__cpu__total": 160.38, - "globalplace_skip_io__mem__peak": 204112.0, - "globalplace_skip_io__runtime__total": "0:06.44", + "globalplace_io__cpu__total": 1.17, + "globalplace_io__mem__peak": 184148.0, + "globalplace_io__runtime__total": "0:01.29", + "globalplace_skip_io__cpu__total": 139.73, + "globalplace_skip_io__mem__peak": 203820.0, + "globalplace_skip_io__runtime__total": "0:06.01", "globalroute__antenna__violating__nets": 0, "globalroute__antenna__violating__pins": 0, "globalroute__antenna_diodes_count": 10, "globalroute__clock__skew__hold": 6.56854, "globalroute__clock__skew__setup": 9.39036, - "globalroute__cpu__total": 939.91, + "globalroute__cpu__total": 900.77, "globalroute__design__core__area": 1436150.0, "globalroute__design__die__area": 1450880.0, "globalroute__design__instance__area": 834101, @@ -233,13 +246,13 @@ "globalroute__design__violations": 0, "globalroute__flow__errors__count": 0, "globalroute__flow__warnings__count": 1, - "globalroute__mem__peak": 989460.0, + "globalroute__mem__peak": 1111400.0, "globalroute__power__internal__total": 2.23147, "globalroute__power__leakage__total": 4.99706e-06, "globalroute__power__switching__total": 1.61253, "globalroute__power__total": 3.844, "globalroute__route__wirelength__estimated": 1298140.0, - "globalroute__runtime__total": "13:52.63", + "globalroute__runtime__total": "13:16.55", "globalroute__timing__clock__slack": -1.787, "globalroute__timing__drv__hold_violation_count": 0, "globalroute__timing__drv__max_cap": 0, @@ -251,7 +264,7 @@ "globalroute__timing__drv__setup_violation_count": 1012, "globalroute__timing__setup__tns": -713.805, "globalroute__timing__setup__ws": -1.78667, - "placeopt__cpu__total": 27.98, + "placeopt__cpu__total": 26.27, "placeopt__design__core__area": 1436150.0, "placeopt__design__die__area": 1450880.0, "placeopt__design__instance__area": 746559, @@ -265,12 +278,12 @@ "placeopt__design__io": 264, "placeopt__flow__errors__count": 0, "placeopt__flow__warnings__count": 1, - "placeopt__mem__peak": 546404.0, + "placeopt__mem__peak": 545576.0, "placeopt__power__internal__total": 1.74671, "placeopt__power__leakage__total": 4.49012e-06, "placeopt__power__switching__total": 1.23635, "placeopt__power__total": 2.98306, - "placeopt__runtime__total": "0:28.50", + "placeopt__runtime__total": "0:26.77", "placeopt__timing__drv__floating__nets": 0, "placeopt__timing__drv__floating__pins": 1, "placeopt__timing__drv__hold_violation_count": 0, @@ -284,10 +297,10 @@ "placeopt__timing__setup__tns": -657.364, "placeopt__timing__setup__ws": -1.6933, "run__flow__design": "ibex", - "run__flow__generate_date": "2024-09-28 20:20", + "run__flow__generate_date": "2024-10-03 21:26", "run__flow__metrics_version": "Metrics_2.1.2", "run__flow__openroad_commit": "N/A", - "run__flow__openroad_version": "v2.0-16113-g7b5c8faf7", + "run__flow__openroad_version": "v2.0-16239-ge23ebb9f9", "run__flow__platform": "gf180", "run__flow__platform__capacitance_units": "1pF", "run__flow__platform__current_units": "1mA", @@ -298,12 +311,12 @@ "run__flow__platform__voltage_units": "1v", "run__flow__platform_commit": "N/A", "run__flow__scripts_commit": "not a git repo", - "run__flow__uuid": "c5bad5ca-68b6-4cf0-959e-e46f9502b8d8", + "run__flow__uuid": "0cd7c440-939a-4d73-a117-23c67f225923", "run__flow__variant": "base", - "synth__cpu__total": 92.61, + "synth__cpu__total": 86.07, "synth__design__instance__area__stdcell": 650732.544, "synth__design__instance__count__stdcell": 13731.0, - "synth__mem__peak": 232092.0, - "synth__runtime__total": "1:33.06", - "total_time": "0:40:40.710000" + "synth__mem__peak": 233056.0, + "synth__runtime__total": "1:26.42", + "total_time": "0:38:53.280000" } \ No newline at end of file diff --git a/flow/designs/gf180/ibex/rules-base.json b/flow/designs/gf180/ibex/rules-base.json index a016763f2b..3acfaf2b5e 100644 --- a/flow/designs/gf180/ibex/rules-base.json +++ b/flow/designs/gf180/ibex/rules-base.json @@ -44,7 +44,7 @@ "compare": "<=" }, "detailedroute__antenna_diodes_count": { - "value": 7, + "value": 9, "compare": "<=" }, "finish__timing__setup__ws": { diff --git a/flow/designs/sky130hd/ibex/fastroute.tcl b/flow/designs/sky130hd/ibex/fastroute.tcl index 80a2ca181e..f4b95b5ae0 100644 --- a/flow/designs/sky130hd/ibex/fastroute.tcl +++ b/flow/designs/sky130hd/ibex/fastroute.tcl @@ -1,4 +1,4 @@ -set_global_routing_layer_adjustment $::env(MIN_ROUTING_LAYER)-$::env(MAX_ROUTING_LAYER) 0.3 +set_global_routing_layer_adjustment $::env(MIN_ROUTING_LAYER)-$::env(MAX_ROUTING_LAYER) 0.35 set_routing_layers -clock $::env(MIN_CLK_ROUTING_LAYER)-$::env(MAX_ROUTING_LAYER) set_routing_layers -signal $::env(MIN_ROUTING_LAYER)-$::env(MAX_ROUTING_LAYER) diff --git a/flow/designs/sky130hd/microwatt/metadata-base-ok.json b/flow/designs/sky130hd/microwatt/metadata-base-ok.json index e1ec11b25b..91918df91e 100644 --- a/flow/designs/sky130hd/microwatt/metadata-base-ok.json +++ b/flow/designs/sky130hd/microwatt/metadata-base-ok.json @@ -4,179 +4,186 @@ "ext_clk: 15.0000", "jtag_tck: 100.0000" ], - "cts__clock__skew__hold": 1.53469, - "cts__clock__skew__setup": 1.1153, - "cts__cpu__total": 217.76, + "cts__clock__skew__hold": 1.4291, + "cts__clock__skew__setup": 0.804605, + "cts__cpu__total": 241.08, "cts__design__core__area": 10143400.0, "cts__design__die__area": 10278400.0, - "cts__design__instance__area": 5005830.0, + "cts__design__instance__area": 5007280.0, "cts__design__instance__area__macros": 3926470.0, - "cts__design__instance__area__stdcell": 1079360.0, - "cts__design__instance__count": 172766, - "cts__design__instance__count__hold_buffer": 3255, + "cts__design__instance__area__stdcell": 1080810.0, + "cts__design__instance__count": 172821, + "cts__design__instance__count__hold_buffer": 3312, "cts__design__instance__count__macros": 6, - "cts__design__instance__count__setup_buffer": 72, - "cts__design__instance__count__stdcell": 172760, - "cts__design__instance__displacement__max": 151.438, - "cts__design__instance__displacement__mean": 0.087, - "cts__design__instance__displacement__total": 15142, - "cts__design__instance__utilization": 0.493506, - "cts__design__instance__utilization__stdcell": 0.173615, + "cts__design__instance__count__setup_buffer": 53, + "cts__design__instance__count__stdcell": 172815, + "cts__design__instance__displacement__max": 155.987, + "cts__design__instance__displacement__mean": 0.098, + "cts__design__instance__displacement__total": 17013, + "cts__design__instance__utilization": 0.493649, + "cts__design__instance__utilization__stdcell": 0.173849, "cts__design__io": 141, "cts__design__violations": 0, "cts__flow__errors__count": 0, "cts__flow__warnings__count": 16, - "cts__mem__peak": 1649152.0, - "cts__power__internal__total": 0.0562824, - "cts__power__leakage__total": 4.1257e-07, - "cts__power__switching__total": 0.0339218, - "cts__power__total": 0.0902046, - "cts__route__wirelength__estimated": 7146250.0, - "cts__runtime__total": "3:39.04", + "cts__mem__peak": 2300064.0, + "cts__power__internal__total": 0.0565018, + "cts__power__leakage__total": 4.12798e-07, + "cts__power__switching__total": 0.0338865, + "cts__power__total": 0.0903887, + "cts__route__wirelength__estimated": 7154080.0, + "cts__runtime__total": "4:03.05", "cts__timing__drv__hold_violation_count": 0, - "cts__timing__drv__max_cap": 23, - "cts__timing__drv__max_cap_limit": -0.325641, - "cts__timing__drv__max_fanout": 230, + "cts__timing__drv__max_cap": 32, + "cts__timing__drv__max_cap_limit": -0.325044, + "cts__timing__drv__max_fanout": 213, "cts__timing__drv__max_fanout_limit": 10, "cts__timing__drv__max_slew": 1, - "cts__timing__drv__max_slew_limit": -0.301237, - "cts__timing__drv__setup_violation_count": 331, - "cts__timing__setup__tns": -472.111, - "cts__timing__setup__ws": -2.63542, + "cts__timing__drv__max_slew_limit": -0.300655, + "cts__timing__drv__setup_violation_count": 303, + "cts__timing__setup__tns": -344.727, + "cts__timing__setup__ws": -2.08659, "design__io__hpwl": 84465893, "design__violations": 0, - "detailedplace__cpu__total": 74.93, + "detailedplace__cpu__total": 87.7, "detailedplace__design__core__area": 10143400.0, "detailedplace__design__die__area": 10278400.0, - "detailedplace__design__instance__area": 4922860.0, + "detailedplace__design__instance__area": 4923880.0, "detailedplace__design__instance__area__macros": 3926470.0, - "detailedplace__design__instance__area__stdcell": 996391, - "detailedplace__design__instance__count": 166857, + "detailedplace__design__instance__area__stdcell": 997404, + "detailedplace__design__instance__count": 166865, "detailedplace__design__instance__count__macros": 6, - "detailedplace__design__instance__count__stdcell": 166851, - "detailedplace__design__instance__displacement__max": 546.532, - "detailedplace__design__instance__displacement__mean": 1.641, - "detailedplace__design__instance__displacement__total": 273881, - "detailedplace__design__instance__utilization": 0.485326, - "detailedplace__design__instance__utilization__stdcell": 0.16027, + "detailedplace__design__instance__count__stdcell": 166859, + "detailedplace__design__instance__displacement__max": 572.541, + "detailedplace__design__instance__displacement__mean": 1.635, + "detailedplace__design__instance__displacement__total": 272966, + "detailedplace__design__instance__utilization": 0.485426, + "detailedplace__design__instance__utilization__stdcell": 0.160433, "detailedplace__design__io": 141, "detailedplace__design__violations": 0, "detailedplace__flow__errors__count": 0, "detailedplace__flow__warnings__count": 0, - "detailedplace__mem__peak": 956268.0, - "detailedplace__power__internal__total": 0.0356729, - "detailedplace__power__leakage__total": 3.68067e-07, - "detailedplace__power__switching__total": 0.0167858, - "detailedplace__power__total": 0.052459, - "detailedplace__route__wirelength__estimated": 6975330.0, - "detailedplace__runtime__total": "1:15.61", - "detailedplace__timing__drv__hold_violation_count": 848, - "detailedplace__timing__drv__max_cap": 21, - "detailedplace__timing__drv__max_cap_limit": -0.598057, + "detailedplace__mem__peak": 1600484.0, + "detailedplace__power__internal__total": 0.0356748, + "detailedplace__power__leakage__total": 3.68055e-07, + "detailedplace__power__switching__total": 0.0167529, + "detailedplace__power__total": 0.052428, + "detailedplace__route__wirelength__estimated": 6990310.0, + "detailedplace__runtime__total": "1:29.26", + "detailedplace__timing__drv__hold_violation_count": 846, + "detailedplace__timing__drv__max_cap": 31, + "detailedplace__timing__drv__max_cap_limit": -0.549744, "detailedplace__timing__drv__max_fanout": 0, "detailedplace__timing__drv__max_fanout_limit": 10, "detailedplace__timing__drv__max_slew": 0, - "detailedplace__timing__drv__max_slew_limit": 0.00931344, - "detailedplace__timing__drv__setup_violation_count": 304, - "detailedplace__timing__setup__tns": -374.766, - "detailedplace__timing__setup__ws": -2.50976, + "detailedplace__timing__drv__max_slew_limit": 0.00672203, + "detailedplace__timing__drv__setup_violation_count": 303, + "detailedplace__timing__setup__tns": -393.006, + "detailedplace__timing__setup__ws": -2.59016, "detailedroute__antenna__violating__nets": 0, "detailedroute__antenna__violating__pins": 0, - "detailedroute__antenna_diodes_count": 670, + "detailedroute__antenna_diodes_count": 836, "detailedroute__flow__errors__count": 0, "detailedroute__flow__warnings__count": 10, "detailedroute__route__drc_errors": 0, - "detailedroute__route__drc_errors__iter:1": 98920, - "detailedroute__route__drc_errors__iter:10": 89, - "detailedroute__route__drc_errors__iter:11": 32, - "detailedroute__route__drc_errors__iter:12": 31, - "detailedroute__route__drc_errors__iter:13": 8, - "detailedroute__route__drc_errors__iter:14": 4, + "detailedroute__route__drc_errors__iter:1": 1217, + "detailedroute__route__drc_errors__iter:10": 5, + "detailedroute__route__drc_errors__iter:11": 0, + "detailedroute__route__drc_errors__iter:12": 0, + "detailedroute__route__drc_errors__iter:13": 19, + "detailedroute__route__drc_errors__iter:14": 13, "detailedroute__route__drc_errors__iter:15": 4, - "detailedroute__route__drc_errors__iter:16": 3, + "detailedroute__route__drc_errors__iter:16": 1, "detailedroute__route__drc_errors__iter:17": 1, - "detailedroute__route__drc_errors__iter:18": 1, - "detailedroute__route__drc_errors__iter:19": 1, - "detailedroute__route__drc_errors__iter:2": 62721, - "detailedroute__route__drc_errors__iter:20": 1, - "detailedroute__route__drc_errors__iter:21": 0, - "detailedroute__route__drc_errors__iter:3": 59559, - "detailedroute__route__drc_errors__iter:4": 12068, - "detailedroute__route__drc_errors__iter:5": 2559, - "detailedroute__route__drc_errors__iter:6": 834, - "detailedroute__route__drc_errors__iter:7": 300, - "detailedroute__route__drc_errors__iter:8": 145, - "detailedroute__route__drc_errors__iter:9": 116, - "detailedroute__route__net": 90845, + "detailedroute__route__drc_errors__iter:18": 3, + "detailedroute__route__drc_errors__iter:19": 0, + "detailedroute__route__drc_errors__iter:2": 214, + "detailedroute__route__drc_errors__iter:3": 153, + "detailedroute__route__drc_errors__iter:4": 10, + "detailedroute__route__drc_errors__iter:5": 0, + "detailedroute__route__drc_errors__iter:6": 99, + "detailedroute__route__drc_errors__iter:7": 56, + "detailedroute__route__drc_errors__iter:8": 50, + "detailedroute__route__drc_errors__iter:9": 50, + "detailedroute__route__net": 90930, "detailedroute__route__net__special": 2, - "detailedroute__route__vias": 742859, + "detailedroute__route__vias": 752587, "detailedroute__route__vias__multicut": 0, - "detailedroute__route__vias__singlecut": 742859, - "detailedroute__route__wirelength": 8440567, - "detailedroute__route__wirelength__iter:1": 8462016, - "detailedroute__route__wirelength__iter:10": 8440452, - "detailedroute__route__wirelength__iter:11": 8440444, - "detailedroute__route__wirelength__iter:12": 8440440, - "detailedroute__route__wirelength__iter:13": 8440551, - "detailedroute__route__wirelength__iter:14": 8440546, - "detailedroute__route__wirelength__iter:15": 8440565, - "detailedroute__route__wirelength__iter:16": 8440556, - "detailedroute__route__wirelength__iter:17": 8440553, - "detailedroute__route__wirelength__iter:18": 8440553, - "detailedroute__route__wirelength__iter:19": 8440554, - "detailedroute__route__wirelength__iter:2": 8443388, - "detailedroute__route__wirelength__iter:20": 8440553, - "detailedroute__route__wirelength__iter:21": 8440567, - "detailedroute__route__wirelength__iter:3": 8439248, - "detailedroute__route__wirelength__iter:4": 8440526, - "detailedroute__route__wirelength__iter:5": 8440745, - "detailedroute__route__wirelength__iter:6": 8440425, - "detailedroute__route__wirelength__iter:7": 8440396, - "detailedroute__route__wirelength__iter:8": 8440475, - "detailedroute__route__wirelength__iter:9": 8440475, - "finish__clock__skew__hold": 1.22775, - "finish__clock__skew__setup": 1.11172, - "finish__cpu__total": 265.94, + "detailedroute__route__vias__singlecut": 752587, + "detailedroute__route__wirelength": 8443530, + "detailedroute__route__wirelength__iter:1": 8443763, + "detailedroute__route__wirelength__iter:10": 8443736, + "detailedroute__route__wirelength__iter:11": 8443732, + "detailedroute__route__wirelength__iter:12": 8444289, + "detailedroute__route__wirelength__iter:13": 8438324, + "detailedroute__route__wirelength__iter:14": 8438311, + "detailedroute__route__wirelength__iter:15": 8438255, + "detailedroute__route__wirelength__iter:16": 8438276, + "detailedroute__route__wirelength__iter:17": 8438277, + "detailedroute__route__wirelength__iter:18": 8438195, + "detailedroute__route__wirelength__iter:19": 8438185, + "detailedroute__route__wirelength__iter:2": 8443532, + "detailedroute__route__wirelength__iter:3": 8443479, + "detailedroute__route__wirelength__iter:4": 8443518, + "detailedroute__route__wirelength__iter:5": 8443530, + "detailedroute__route__wirelength__iter:6": 8443739, + "detailedroute__route__wirelength__iter:7": 8443816, + "detailedroute__route__wirelength__iter:8": 8443813, + "detailedroute__route__wirelength__iter:9": 8443813, + "finish__clock__skew__hold": 1.32108, + "finish__clock__skew__setup": 0.933864, + "finish__cpu__total": 313.28, "finish__design__core__area": 10143400.0, "finish__design__die__area": 10278400.0, - "finish__design__instance__area": 5021790.0, + "finish__design__instance__area": 5023930.0, "finish__design__instance__area__macros": 3926470.0, - "finish__design__instance__area__stdcell": 1095320.0, - "finish__design__instance__count": 178666, + "finish__design__instance__area__stdcell": 1097450.0, + "finish__design__instance__count": 178665, + "finish__design__instance__count__class:antenna_cell": 5780, + "finish__design__instance__count__class:buffer": 9635, + "finish__design__instance__count__class:clock_buffer": 2136, + "finish__design__instance__count__class:clock_inverter": 462, + "finish__design__instance__count__class:fill_cell": 679849, + "finish__design__instance__count__class:inverter": 1731, + "finish__design__instance__count__class:macro": 6, + "finish__design__instance__count__class:multi_input_combinational_cell": 55721, + "finish__design__instance__count__class:sequential_cell": 10257, + "finish__design__instance__count__class:tap_cell": 82890, + "finish__design__instance__count__class:timing_repair_buffer": 10047, "finish__design__instance__count__macros": 6, - "finish__design__instance__count__stdcell": 178660, - "finish__design__instance__utilization": 0.495079, - "finish__design__instance__utilization__stdcell": 0.176183, + "finish__design__instance__count__stdcell": 178659, + "finish__design__instance__utilization": 0.49529, + "finish__design__instance__utilization__stdcell": 0.176527, "finish__design__io": 141, "finish__design_powergrid__drop__average__net:VDD__corner:default": 1.79996, - "finish__design_powergrid__drop__average__net:VSS__corner:default": 3.64712e-05, - "finish__design_powergrid__drop__worst__net:VDD__corner:default": 0.000257345, - "finish__design_powergrid__drop__worst__net:VSS__corner:default": 0.00373063, + "finish__design_powergrid__drop__average__net:VSS__corner:default": 3.55236e-05, + "finish__design_powergrid__drop__worst__net:VDD__corner:default": 0.000261702, + "finish__design_powergrid__drop__worst__net:VSS__corner:default": 0.000529756, "finish__design_powergrid__voltage__worst__net:VDD__corner:default": 1.79974, - "finish__design_powergrid__voltage__worst__net:VSS__corner:default": 0.00373063, + "finish__design_powergrid__voltage__worst__net:VSS__corner:default": 0.000529756, "finish__flow__errors__count": 0, "finish__flow__warnings__count": 1, - "finish__mem__peak": 3301480.0, - "finish__power__internal__total": 0.0563034, - "finish__power__leakage__total": 4.1304e-07, - "finish__power__switching__total": 0.0333135, - "finish__power__total": 0.0896173, - "finish__runtime__total": "4:30.05", - "finish__timing__drv__hold_violation_count": 53, - "finish__timing__drv__max_cap": 107, - "finish__timing__drv__max_cap_limit": -1.56993, - "finish__timing__drv__max_fanout": 750, + "finish__mem__peak": 3320364.0, + "finish__power__internal__total": 0.0565445, + "finish__power__leakage__total": 4.1367e-07, + "finish__power__switching__total": 0.0338547, + "finish__power__total": 0.0903997, + "finish__runtime__total": "5:18.08", + "finish__timing__drv__hold_violation_count": 49, + "finish__timing__drv__max_cap": 132, + "finish__timing__drv__max_cap_limit": -1.65666, + "finish__timing__drv__max_fanout": 724, "finish__timing__drv__max_fanout_limit": 10, - "finish__timing__drv__max_slew": 175, - "finish__timing__drv__max_slew_limit": -0.344166, - "finish__timing__drv__setup_violation_count": 339, - "finish__timing__setup__tns": -546.657, - "finish__timing__setup__ws": -3.10945, - "finish__timing__wns_percent_delay": -14.980705, - "finish_merge__cpu__total": 34.99, - "finish_merge__mem__peak": 3165024.0, - "finish_merge__runtime__total": "0:37.37", - "floorplan__cpu__total": 311.65, + "finish__timing__drv__max_slew": 215, + "finish__timing__drv__max_slew_limit": -0.25296, + "finish__timing__drv__setup_violation_count": 318, + "finish__timing__setup__tns": -416.879, + "finish__timing__setup__ws": -2.32955, + "finish__timing__wns_percent_delay": -11.604505, + "finish_merge__cpu__total": 39.96, + "finish_merge__mem__peak": 3076016.0, + "finish_merge__runtime__total": "0:42.64", + "floorplan__cpu__total": 384.64, "floorplan__design__core__area": 10143400.0, "floorplan__design__die__area": 10278400.0, "floorplan__design__instance__area": 4615250.0, @@ -192,32 +199,32 @@ "floorplan__design__io": 141, "floorplan__flow__errors__count": 0, "floorplan__flow__warnings__count": 5263, - "floorplan__mem__peak": 456152.0, + "floorplan__mem__peak": 455780.0, "floorplan__power__internal__total": 0.0331209, "floorplan__power__leakage__total": 2.93472e-07, "floorplan__power__switching__total": 0.00471089, "floorplan__power__total": 0.0378321, - "floorplan__runtime__total": "5:12.02", + "floorplan__runtime__total": "6:25.07", "floorplan__timing__setup__tns": -2.97663, "floorplan__timing__setup__ws": -0.141255, - "floorplan_io__cpu__total": 0.96, - "floorplan_io__mem__peak": 273128.0, - "floorplan_io__runtime__total": "0:01.16", - "floorplan_macro__cpu__total": 1871.14, - "floorplan_macro__mem__peak": 466524.0, - "floorplan_macro__runtime__total": "2:27.23", - "floorplan_pdn__cpu__total": 10.45, - "floorplan_pdn__mem__peak": 585460.0, - "floorplan_pdn__runtime__total": "0:10.87", - "floorplan_tap__cpu__total": 1.15, - "floorplan_tap__mem__peak": 262164.0, - "floorplan_tap__runtime__total": "0:01.37", - "floorplan_tdms__cpu__total": 0.09, - "floorplan_tdms__mem__peak": 100128.0, - "floorplan_tdms__runtime__total": "0:00.17", + "floorplan_io__cpu__total": 1.12, + "floorplan_io__mem__peak": 272520.0, + "floorplan_io__runtime__total": "0:01.37", + "floorplan_macro__cpu__total": 1938.9, + "floorplan_macro__mem__peak": 464984.0, + "floorplan_macro__runtime__total": "2:34.08", + "floorplan_pdn__cpu__total": 11.99, + "floorplan_pdn__mem__peak": 585768.0, + "floorplan_pdn__runtime__total": "0:12.52", + "floorplan_tap__cpu__total": 1.33, + "floorplan_tap__mem__peak": 262092.0, + "floorplan_tap__runtime__total": "0:01.57", + "floorplan_tdms__cpu__total": 0.06, + "floorplan_tdms__mem__peak": 99888.0, + "floorplan_tdms__runtime__total": "0:00.19", "flow__errors__count": 0, "flow__warnings__count": 0, - "globalplace__cpu__total": 2191.51, + "globalplace__cpu__total": 2853.06, "globalplace__design__core__area": 10143400.0, "globalplace__design__die__area": 10278400.0, "globalplace__design__instance__area": 4718960.0, @@ -231,100 +238,100 @@ "globalplace__design__io": 141, "globalplace__flow__errors__count": 0, "globalplace__flow__warnings__count": 0, - "globalplace__mem__peak": 1644656.0, - "globalplace__power__internal__total": 0.0332574, + "globalplace__mem__peak": 1644944.0, + "globalplace__power__internal__total": 0.0332554, "globalplace__power__leakage__total": 2.93472e-07, - "globalplace__power__switching__total": 0.0148307, - "globalplace__power__total": 0.0480884, - "globalplace__runtime__total": "5:38.66", - "globalplace__timing__setup__tns": -4589.42, - "globalplace__timing__setup__ws": -8.25748, - "globalplace_io__cpu__total": 1.48, - "globalplace_io__mem__peak": 382044.0, - "globalplace_io__runtime__total": "0:01.76", - "globalplace_skip_io__cpu__total": 654.89, - "globalplace_skip_io__mem__peak": 475232.0, - "globalplace_skip_io__runtime__total": "0:38.31", - "globalroute__antenna__violating__nets": 13, - "globalroute__antenna__violating__pins": 17, - "globalroute__antenna_diodes_count": 5190, - "globalroute__clock__skew__hold": 1.32423, - "globalroute__clock__skew__setup": 1.05245, - "globalroute__cpu__total": 691.51, + "globalplace__power__switching__total": 0.0148004, + "globalplace__power__total": 0.0480561, + "globalplace__runtime__total": "6:56.89", + "globalplace__timing__setup__tns": -4936.08, + "globalplace__timing__setup__ws": -7.92144, + "globalplace_io__cpu__total": 1.66, + "globalplace_io__mem__peak": 381232.0, + "globalplace_io__runtime__total": "0:01.99", + "globalplace_skip_io__cpu__total": 690.58, + "globalplace_skip_io__mem__peak": 475500.0, + "globalplace_skip_io__runtime__total": "0:43.06", + "globalroute__antenna__violating__nets": 8, + "globalroute__antenna__violating__pins": 9, + "globalroute__antenna_diodes_count": 4944, + "globalroute__clock__skew__hold": 1.26318, + "globalroute__clock__skew__setup": 0.745455, + "globalroute__cpu__total": 756.07, "globalroute__design__core__area": 10143400.0, "globalroute__design__die__area": 10278400.0, - "globalroute__design__instance__area": 5020120.0, + "globalroute__design__instance__area": 5021840.0, "globalroute__design__instance__area__macros": 3926470.0, - "globalroute__design__instance__area__stdcell": 1093640.0, - "globalroute__design__instance__count": 177996, - "globalroute__design__instance__count__hold_buffer": 8, + "globalroute__design__instance__area__stdcell": 1095360.0, + "globalroute__design__instance__count": 177829, + "globalroute__design__instance__count__hold_buffer": 21, "globalroute__design__instance__count__macros": 6, - "globalroute__design__instance__count__setup_buffer": 7, - "globalroute__design__instance__count__stdcell": 177990, - "globalroute__design__instance__displacement__max": 7.28, + "globalroute__design__instance__count__setup_buffer": 9, + "globalroute__design__instance__count__stdcell": 177823, + "globalroute__design__instance__displacement__max": 9.16, "globalroute__design__instance__displacement__mean": 0.001, - "globalroute__design__instance__displacement__total": 231.9, - "globalroute__design__instance__utilization": 0.494914, - "globalroute__design__instance__utilization__stdcell": 0.175913, + "globalroute__design__instance__displacement__total": 311.94, + "globalroute__design__instance__utilization": 0.495084, + "globalroute__design__instance__utilization__stdcell": 0.17619, "globalroute__design__io": 141, "globalroute__design__violations": 0, "globalroute__flow__errors__count": 0, "globalroute__flow__warnings__count": 2, - "globalroute__mem__peak": 2822760.0, - "globalroute__power__internal__total": 0.0563481, - "globalroute__power__leakage__total": 4.1304e-07, - "globalroute__power__switching__total": 0.0354692, - "globalroute__power__total": 0.0918177, - "globalroute__route__wirelength__estimated": 7147990.0, - "globalroute__runtime__total": "4:45.50", + "globalroute__mem__peak": 3469760.0, + "globalroute__power__internal__total": 0.0565897, + "globalroute__power__leakage__total": 4.1367e-07, + "globalroute__power__switching__total": 0.0354724, + "globalroute__power__total": 0.0920625, + "globalroute__route__wirelength__estimated": 7156590.0, + "globalroute__runtime__total": "5:32.27", "globalroute__timing__clock__slack": "N/A", - "globalroute__timing__drv__hold_violation_count": 0, - "globalroute__timing__drv__max_cap": 55, - "globalroute__timing__drv__max_cap_limit": -0.986729, - "globalroute__timing__drv__max_fanout": 673, + "globalroute__timing__drv__hold_violation_count": 1, + "globalroute__timing__drv__max_cap": 52, + "globalroute__timing__drv__max_cap_limit": -0.997139, + "globalroute__timing__drv__max_fanout": 622, "globalroute__timing__drv__max_fanout_limit": 10, - "globalroute__timing__drv__max_slew": 104, - "globalroute__timing__drv__max_slew_limit": -0.185329, - "globalroute__timing__drv__setup_violation_count": 331, - "globalroute__timing__setup__tns": -463.286, - "globalroute__timing__setup__ws": -2.56447, - "placeopt__cpu__total": 67.8, + "globalroute__timing__drv__max_slew": 31, + "globalroute__timing__drv__max_slew_limit": -0.0953252, + "globalroute__timing__drv__setup_violation_count": 306, + "globalroute__timing__setup__tns": -359.727, + "globalroute__timing__setup__ws": -2.1003, + "placeopt__cpu__total": 79.11, "placeopt__design__core__area": 10143400.0, "placeopt__design__die__area": 10278400.0, - "placeopt__design__instance__area": 4922860.0, + "placeopt__design__instance__area": 4923880.0, "placeopt__design__instance__area__macros": 3926470.0, - "placeopt__design__instance__area__stdcell": 996391, - "placeopt__design__instance__count": 166857, + "placeopt__design__instance__area__stdcell": 997404, + "placeopt__design__instance__count": 166865, "placeopt__design__instance__count__macros": 6, - "placeopt__design__instance__count__stdcell": 166851, - "placeopt__design__instance__utilization": 0.485326, - "placeopt__design__instance__utilization__stdcell": 0.16027, + "placeopt__design__instance__count__stdcell": 166859, + "placeopt__design__instance__utilization": 0.485426, + "placeopt__design__instance__utilization__stdcell": 0.160433, "placeopt__design__io": 141, "placeopt__flow__errors__count": 0, "placeopt__flow__warnings__count": 1, - "placeopt__mem__peak": 1097788.0, - "placeopt__power__internal__total": 0.0356745, - "placeopt__power__leakage__total": 3.68067e-07, - "placeopt__power__switching__total": 0.0169713, - "placeopt__power__total": 0.0526461, - "placeopt__runtime__total": "1:08.64", + "placeopt__mem__peak": 1097428.0, + "placeopt__power__internal__total": 0.0356764, + "placeopt__power__leakage__total": 3.68055e-07, + "placeopt__power__switching__total": 0.0169337, + "placeopt__power__total": 0.0526104, + "placeopt__runtime__total": "1:20.21", "placeopt__timing__drv__floating__nets": 0, "placeopt__timing__drv__floating__pins": 2, - "placeopt__timing__drv__hold_violation_count": 848, + "placeopt__timing__drv__hold_violation_count": 846, "placeopt__timing__drv__max_cap": 0, - "placeopt__timing__drv__max_cap_limit": 9.8922e-05, + "placeopt__timing__drv__max_cap_limit": 0.000470218, "placeopt__timing__drv__max_fanout": 0, "placeopt__timing__drv__max_fanout_limit": 10, "placeopt__timing__drv__max_slew": 0, - "placeopt__timing__drv__max_slew_limit": 0.00352318, - "placeopt__timing__drv__setup_violation_count": 304, - "placeopt__timing__setup__tns": -374.424, - "placeopt__timing__setup__ws": -2.50731, + "placeopt__timing__drv__max_slew_limit": 0.00317835, + "placeopt__timing__drv__setup_violation_count": 303, + "placeopt__timing__setup__tns": -397.509, + "placeopt__timing__setup__ws": -2.622, "run__flow__design": "microwatt", - "run__flow__generate_date": "2024-09-28 20:48", + "run__flow__generate_date": "2024-10-03 22:30", "run__flow__metrics_version": "Metrics_2.1.2", "run__flow__openroad_commit": "N/A", - "run__flow__openroad_version": "v2.0-16113-g7b5c8faf7", + "run__flow__openroad_version": "v2.0-16239-ge23ebb9f9", "run__flow__platform": "sky130hd", "run__flow__platform__capacitance_units": "1pF", "run__flow__platform__current_units": "1mA", @@ -335,12 +342,12 @@ "run__flow__platform__voltage_units": "1v", "run__flow__platform_commit": "N/A", "run__flow__scripts_commit": "not a git repo", - "run__flow__uuid": "97a874fb-36d4-41f4-b0ac-c01260944a21", + "run__flow__uuid": "6ce10ae3-631f-4cc4-86ba-8f6dbf3c9e0e", "run__flow__variant": "base", - "synth__cpu__total": 235.04, + "synth__cpu__total": 297.32, "synth__design__instance__area__stdcell": 636527.9808, "synth__design__instance__count__stdcell": 76483.0, - "synth__mem__peak": 644544.0, - "synth__runtime__total": "3:57.42", - "total_time": "0:34:05.180000" + "synth__mem__peak": 645064.0, + "synth__runtime__total": "5:00.35", + "total_time": "0:40:22.600000" } \ No newline at end of file diff --git a/flow/designs/sky130hd/microwatt/rules-base.json b/flow/designs/sky130hd/microwatt/rules-base.json index c3bdfe5188..2066731f10 100644 --- a/flow/designs/sky130hd/microwatt/rules-base.json +++ b/flow/designs/sky130hd/microwatt/rules-base.json @@ -28,7 +28,7 @@ "compare": "<=" }, "globalroute__antenna_diodes_count": { - "value": 5828, + "value": 5686, "compare": "<=" }, "detailedroute__route__wirelength": { @@ -44,11 +44,11 @@ "compare": "<=" }, "detailedroute__antenna_diodes_count": { - "value": 760, + "value": 961, "compare": "<=" }, "finish__timing__setup__ws": { - "value": -3.75, + "value": -3.07, "compare": ">=" }, "finish__design__instance__area": { diff --git a/flow/designs/sky130hs/jpeg/metadata-base-ok.json b/flow/designs/sky130hs/jpeg/metadata-base-ok.json index 1961da9b54..9d5461bddb 100644 --- a/flow/designs/sky130hs/jpeg/metadata-base-ok.json +++ b/flow/designs/sky130hs/jpeg/metadata-base-ok.json @@ -5,7 +5,7 @@ ], "cts__clock__skew__hold": 0.0956994, "cts__clock__skew__setup": 0.0956994, - "cts__cpu__total": 71.0, + "cts__cpu__total": 69.25, "cts__design__core__area": 1352770.0, "cts__design__die__area": 1366160.0, "cts__design__instance__area": 855970, @@ -25,13 +25,13 @@ "cts__design__violations": 0, "cts__flow__errors__count": 0, "cts__flow__warnings__count": 0, - "cts__mem__peak": 862380.0, + "cts__mem__peak": 947112.0, "cts__power__internal__total": 2.21055, "cts__power__leakage__total": 8.1493e-06, "cts__power__switching__total": 1.40575, "cts__power__total": 3.61631, "cts__route__wirelength__estimated": 2820630.0, - "cts__runtime__total": "1:11.79", + "cts__runtime__total": "1:10.03", "cts__timing__drv__hold_violation_count": 0, "cts__timing__drv__max_cap": 0, "cts__timing__drv__max_cap_limit": 0.0567323, @@ -44,7 +44,7 @@ "cts__timing__setup__ws": 0.0934635, "design__io__hpwl": 13921904, "design__violations": 0, - "detailedplace__cpu__total": 81.4, + "detailedplace__cpu__total": 76.72, "detailedplace__design__core__area": 1352770.0, "detailedplace__design__die__area": 1366160.0, "detailedplace__design__instance__area": 835797, @@ -62,13 +62,13 @@ "detailedplace__design__violations": 0, "detailedplace__flow__errors__count": 0, "detailedplace__flow__warnings__count": 0, - "detailedplace__mem__peak": 499008.0, + "detailedplace__mem__peak": 584312.0, "detailedplace__power__internal__total": 2.19064, "detailedplace__power__leakage__total": 8.00542e-06, "detailedplace__power__switching__total": 1.37594, "detailedplace__power__total": 3.56658, "detailedplace__route__wirelength__estimated": 2826880.0, - "detailedplace__runtime__total": "1:21.83", + "detailedplace__runtime__total": "1:17.21", "detailedplace__timing__drv__hold_violation_count": 0, "detailedplace__timing__drv__max_cap": 0, "detailedplace__timing__drv__max_cap_limit": 0.0618095, @@ -81,22 +81,22 @@ "detailedplace__timing__setup__ws": 0.120986, "detailedroute__antenna__violating__nets": 0, "detailedroute__antenna__violating__pins": 0, - "detailedroute__antenna_diodes_count": 294, + "detailedroute__antenna_diodes_count": 357, "detailedroute__flow__errors__count": 0, "detailedroute__flow__warnings__count": 10, "detailedroute__route__drc_errors": 0, - "detailedroute__route__drc_errors__iter:1": 63570, - "detailedroute__route__drc_errors__iter:10": 124, - "detailedroute__route__drc_errors__iter:11": 92, - "detailedroute__route__drc_errors__iter:12": 38, - "detailedroute__route__drc_errors__iter:13": 38, + "detailedroute__route__drc_errors__iter:1": 572, + "detailedroute__route__drc_errors__iter:10": 68, + "detailedroute__route__drc_errors__iter:11": 32, + "detailedroute__route__drc_errors__iter:12": 10, + "detailedroute__route__drc_errors__iter:13": 0, "detailedroute__route__drc_errors__iter:14": 31, "detailedroute__route__drc_errors__iter:15": 14, "detailedroute__route__drc_errors__iter:16": 5, "detailedroute__route__drc_errors__iter:17": 5, "detailedroute__route__drc_errors__iter:18": 5, "detailedroute__route__drc_errors__iter:19": 4, - "detailedroute__route__drc_errors__iter:2": 38835, + "detailedroute__route__drc_errors__iter:2": 158, "detailedroute__route__drc_errors__iter:20": 4, "detailedroute__route__drc_errors__iter:21": 4, "detailedroute__route__drc_errors__iter:22": 4, @@ -107,32 +107,32 @@ "detailedroute__route__drc_errors__iter:27": 3, "detailedroute__route__drc_errors__iter:28": 3, "detailedroute__route__drc_errors__iter:29": 1, - "detailedroute__route__drc_errors__iter:3": 36991, + "detailedroute__route__drc_errors__iter:3": 127, "detailedroute__route__drc_errors__iter:30": 0, - "detailedroute__route__drc_errors__iter:4": 7341, - "detailedroute__route__drc_errors__iter:5": 1598, - "detailedroute__route__drc_errors__iter:6": 619, - "detailedroute__route__drc_errors__iter:7": 337, - "detailedroute__route__drc_errors__iter:8": 220, - "detailedroute__route__drc_errors__iter:9": 150, + "detailedroute__route__drc_errors__iter:4": 14, + "detailedroute__route__drc_errors__iter:5": 9, + "detailedroute__route__drc_errors__iter:6": 0, + "detailedroute__route__drc_errors__iter:7": 85, + "detailedroute__route__drc_errors__iter:8": 73, + "detailedroute__route__drc_errors__iter:9": 68, "detailedroute__route__net": 73818, "detailedroute__route__net__special": 2, - "detailedroute__route__vias": 476427, + "detailedroute__route__vias": 484000, "detailedroute__route__vias__multicut": 0, - "detailedroute__route__vias__singlecut": 476427, - "detailedroute__route__wirelength": 3325021, - "detailedroute__route__wirelength__iter:1": 3346534, - "detailedroute__route__wirelength__iter:10": 3324883, - "detailedroute__route__wirelength__iter:11": 3324935, - "detailedroute__route__wirelength__iter:12": 3324920, - "detailedroute__route__wirelength__iter:13": 3324920, + "detailedroute__route__vias__singlecut": 484000, + "detailedroute__route__wirelength": 3333718, + "detailedroute__route__wirelength__iter:1": 3333740, + "detailedroute__route__wirelength__iter:10": 3333508, + "detailedroute__route__wirelength__iter:11": 3333511, + "detailedroute__route__wirelength__iter:12": 3333557, + "detailedroute__route__wirelength__iter:13": 3333543, "detailedroute__route__wirelength__iter:14": 3324940, "detailedroute__route__wirelength__iter:15": 3324965, "detailedroute__route__wirelength__iter:16": 3324995, "detailedroute__route__wirelength__iter:17": 3324995, "detailedroute__route__wirelength__iter:18": 3324995, "detailedroute__route__wirelength__iter:19": 3324995, - "detailedroute__route__wirelength__iter:2": 3327759, + "detailedroute__route__wirelength__iter:2": 3333633, "detailedroute__route__wirelength__iter:20": 3324995, "detailedroute__route__wirelength__iter:21": 3324995, "detailedroute__route__wirelength__iter:22": 3324995, @@ -143,57 +143,67 @@ "detailedroute__route__wirelength__iter:27": 3324998, "detailedroute__route__wirelength__iter:28": 3324998, "detailedroute__route__wirelength__iter:29": 3324998, - "detailedroute__route__wirelength__iter:3": 3324170, + "detailedroute__route__wirelength__iter:3": 3333616, "detailedroute__route__wirelength__iter:30": 3325021, - "detailedroute__route__wirelength__iter:4": 3325206, - "detailedroute__route__wirelength__iter:5": 3324946, - "detailedroute__route__wirelength__iter:6": 3324903, - "detailedroute__route__wirelength__iter:7": 3324912, - "detailedroute__route__wirelength__iter:8": 3324910, - "detailedroute__route__wirelength__iter:9": 3324905, - "finish__clock__skew__hold": 0.177966, - "finish__clock__skew__setup": 0.177966, - "finish__cpu__total": 167.28, + "detailedroute__route__wirelength__iter:4": 3333738, + "detailedroute__route__wirelength__iter:5": 3333731, + "detailedroute__route__wirelength__iter:6": 3333718, + "detailedroute__route__wirelength__iter:7": 3333536, + "detailedroute__route__wirelength__iter:8": 3333545, + "detailedroute__route__wirelength__iter:9": 3333508, + "finish__clock__skew__hold": 0.190465, + "finish__clock__skew__setup": 0.190465, + "finish__cpu__total": 166.63, "finish__design__core__area": 1352770.0, "finish__design__die__area": 1366160.0, - "finish__design__instance__area": 860956, + "finish__design__instance__area": 861157, "finish__design__instance__area__macros": 0, - "finish__design__instance__area__stdcell": 860956, - "finish__design__instance__count": 78302, + "finish__design__instance__area__stdcell": 861157, + "finish__design__instance__count": 78365, + "finish__design__instance__count__class:antenna_cell": 1503, + "finish__design__instance__count__class:buffer": 1760, + "finish__design__instance__count__class:clock_buffer": 536, + "finish__design__instance__count__class:clock_inverter": 313, + "finish__design__instance__count__class:fill_cell": 73310, + "finish__design__instance__count__class:inverter": 13091, + "finish__design__instance__count__class:multi_input_combinational_cell": 42143, + "finish__design__instance__count__class:sequential_cell": 4385, + "finish__design__instance__count__class:tap_cell": 14566, + "finish__design__instance__count__class:timing_repair_buffer": 68, "finish__design__instance__count__macros": 0, - "finish__design__instance__count__stdcell": 78302, - "finish__design__instance__utilization": 0.636441, - "finish__design__instance__utilization__stdcell": 0.636441, + "finish__design__instance__count__stdcell": 78365, + "finish__design__instance__utilization": 0.63659, + "finish__design__instance__utilization__stdcell": 0.63659, "finish__design__io": 47, - "finish__design_powergrid__drop__average__net:VDD__corner:default": 1.79154, - "finish__design_powergrid__drop__average__net:VSS__corner:default": 0.0085023, - "finish__design_powergrid__drop__worst__net:VDD__corner:default": 0.0482714, - "finish__design_powergrid__drop__worst__net:VSS__corner:default": 0.0398686, - "finish__design_powergrid__voltage__worst__net:VDD__corner:default": 1.75173, - "finish__design_powergrid__voltage__worst__net:VSS__corner:default": 0.0398686, + "finish__design_powergrid__drop__average__net:VDD__corner:default": 1.79145, + "finish__design_powergrid__drop__average__net:VSS__corner:default": 0.00859709, + "finish__design_powergrid__drop__worst__net:VDD__corner:default": 0.0483402, + "finish__design_powergrid__drop__worst__net:VSS__corner:default": 0.040784, + "finish__design_powergrid__voltage__worst__net:VDD__corner:default": 1.75166, + "finish__design_powergrid__voltage__worst__net:VSS__corner:default": 0.040784, "finish__flow__errors__count": 0, "finish__flow__warnings__count": 1, - "finish__mem__peak": 1534452.0, - "finish__power__internal__total": 2.22886, + "finish__mem__peak": 1563804.0, + "finish__power__internal__total": 2.23028, "finish__power__leakage__total": 8.15368e-06, - "finish__power__switching__total": 1.63453, - "finish__power__total": 3.8634, - "finish__runtime__total": "2:49.34", + "finish__power__switching__total": 1.68154, + "finish__power__total": 3.91182, + "finish__runtime__total": "2:48.40", "finish__timing__drv__hold_violation_count": 0, - "finish__timing__drv__max_cap": 17, - "finish__timing__drv__max_cap_limit": -0.738233, + "finish__timing__drv__max_cap": 23, + "finish__timing__drv__max_cap_limit": -0.751411, "finish__timing__drv__max_fanout": 0, "finish__timing__drv__max_fanout_limit": 0, - "finish__timing__drv__max_slew": 46, - "finish__timing__drv__max_slew_limit": -0.665497, - "finish__timing__drv__setup_violation_count": 14, - "finish__timing__setup__tns": -1.0015, - "finish__timing__setup__ws": -0.158707, - "finish__timing__wns_percent_delay": -2.302236, - "finish_merge__cpu__total": 8.82, - "finish_merge__mem__peak": 920564.0, - "finish_merge__runtime__total": "0:09.56", - "floorplan__cpu__total": 33.78, + "finish__timing__drv__max_slew": 115, + "finish__timing__drv__max_slew_limit": -0.677804, + "finish__timing__drv__setup_violation_count": 25, + "finish__timing__setup__tns": -1.91845, + "finish__timing__setup__ws": -0.358263, + "finish__timing__wns_percent_delay": -5.005798, + "finish_merge__cpu__total": 8.75, + "finish_merge__mem__peak": 914504.0, + "finish_merge__runtime__total": "0:09.46", + "floorplan__cpu__total": 31.99, "floorplan__design__core__area": 1352770.0, "floorplan__design__die__area": 1366160.0, "floorplan__design__instance__area": 678414, @@ -209,32 +219,32 @@ "floorplan__design__io": 47, "floorplan__flow__errors__count": 0, "floorplan__flow__warnings__count": 3, - "floorplan__mem__peak": 406036.0, + "floorplan__mem__peak": 405708.0, "floorplan__power__internal__total": 1.51101, "floorplan__power__leakage__total": 8.16138e-06, "floorplan__power__switching__total": 0.558806, "floorplan__power__total": 2.06982, - "floorplan__runtime__total": "0:34.20", + "floorplan__runtime__total": "0:32.28", "floorplan__timing__setup__tns": 0, "floorplan__timing__setup__ws": 0.613713, - "floorplan_io__cpu__total": 2.27, - "floorplan_io__mem__peak": 260088.0, - "floorplan_io__runtime__total": "0:02.52", - "floorplan_macro__cpu__total": 2.36, - "floorplan_macro__mem__peak": 258940.0, - "floorplan_macro__runtime__total": "0:02.57", - "floorplan_pdn__cpu__total": 4.14, - "floorplan_pdn__mem__peak": 280900.0, - "floorplan_pdn__runtime__total": "0:04.38", - "floorplan_tap__cpu__total": 2.2, - "floorplan_tap__mem__peak": 229160.0, - "floorplan_tap__runtime__total": "0:02.44", - "floorplan_tdms__cpu__total": 2.34, - "floorplan_tdms__mem__peak": 259104.0, - "floorplan_tdms__runtime__total": "0:02.58", + "floorplan_io__cpu__total": 2.23, + "floorplan_io__mem__peak": 259924.0, + "floorplan_io__runtime__total": "0:02.48", + "floorplan_macro__cpu__total": 2.29, + "floorplan_macro__mem__peak": 258444.0, + "floorplan_macro__runtime__total": "0:02.51", + "floorplan_pdn__cpu__total": 4.21, + "floorplan_pdn__mem__peak": 280504.0, + "floorplan_pdn__runtime__total": "0:04.49", + "floorplan_tap__cpu__total": 2.21, + "floorplan_tap__mem__peak": 227980.0, + "floorplan_tap__runtime__total": "0:02.40", + "floorplan_tdms__cpu__total": 0.09, + "floorplan_tdms__mem__peak": 99360.0, + "floorplan_tdms__runtime__total": "0:00.18", "flow__errors__count": 0, "flow__warnings__count": 0, - "globalplace__cpu__total": 3723.14, + "globalplace__cpu__total": 2536.96, "globalplace__design__core__area": 1352770.0, "globalplace__design__die__area": 1366160.0, "globalplace__design__instance__area": 701696, @@ -248,26 +258,26 @@ "globalplace__design__io": 47, "globalplace__flow__errors__count": 0, "globalplace__flow__warnings__count": 0, - "globalplace__mem__peak": 1060464.0, + "globalplace__mem__peak": 1060648.0, "globalplace__power__internal__total": 1.57499, "globalplace__power__leakage__total": 8.16138e-06, "globalplace__power__switching__total": 1.16368, "globalplace__power__total": 2.73868, - "globalplace__runtime__total": "7:21.65", + "globalplace__runtime__total": "6:55.45", "globalplace__timing__setup__tns": -1134.45, "globalplace__timing__setup__ws": -4.3826, - "globalplace_io__cpu__total": 2.39, - "globalplace_io__mem__peak": 279036.0, - "globalplace_io__runtime__total": "0:02.63", - "globalplace_skip_io__cpu__total": 1389.59, - "globalplace_skip_io__mem__peak": 362932.0, - "globalplace_skip_io__runtime__total": "1:00.56", + "globalplace_io__cpu__total": 2.38, + "globalplace_io__mem__peak": 278828.0, + "globalplace_io__runtime__total": "0:02.58", + "globalplace_skip_io__cpu__total": 934.02, + "globalplace_skip_io__mem__peak": 363652.0, + "globalplace_skip_io__runtime__total": "0:52.71", "globalroute__antenna__violating__nets": 0, "globalroute__antenna__violating__pins": 0, "globalroute__antenna_diodes_count": 1146, "globalroute__clock__skew__hold": 0.151294, "globalroute__clock__skew__setup": 0.151294, - "globalroute__cpu__total": 393.01, + "globalroute__cpu__total": 374.24, "globalroute__design__core__area": 1352770.0, "globalroute__design__die__area": 1366160.0, "globalroute__design__instance__area": 860016, @@ -287,13 +297,13 @@ "globalroute__design__violations": 0, "globalroute__flow__errors__count": 0, "globalroute__flow__warnings__count": 0, - "globalroute__mem__peak": 1494348.0, + "globalroute__mem__peak": 1580180.0, "globalroute__power__internal__total": 2.25405, "globalroute__power__leakage__total": 8.15368e-06, "globalroute__power__switching__total": 1.69048, "globalroute__power__total": 3.94454, "globalroute__route__wirelength__estimated": 2823730.0, - "globalroute__runtime__total": "2:19.38", + "globalroute__runtime__total": "2:15.52", "globalroute__timing__clock__slack": -0.403, "globalroute__timing__drv__hold_violation_count": 0, "globalroute__timing__drv__max_cap": 5, @@ -305,7 +315,7 @@ "globalroute__timing__drv__setup_violation_count": 8, "globalroute__timing__setup__tns": -0.610603, "globalroute__timing__setup__ws": -0.403242, - "placeopt__cpu__total": 72.43, + "placeopt__cpu__total": 69.8, "placeopt__design__core__area": 1352770.0, "placeopt__design__die__area": 1366160.0, "placeopt__design__instance__area": 835797, @@ -319,12 +329,12 @@ "placeopt__design__io": 47, "placeopt__flow__errors__count": 0, "placeopt__flow__warnings__count": 0, - "placeopt__mem__peak": 731896.0, + "placeopt__mem__peak": 733568.0, "placeopt__power__internal__total": 2.18776, "placeopt__power__leakage__total": 8.00542e-06, "placeopt__power__switching__total": 1.35365, "placeopt__power__total": 3.54142, - "placeopt__runtime__total": "1:13.17", + "placeopt__runtime__total": "1:10.49", "placeopt__timing__drv__floating__nets": 0, "placeopt__timing__drv__floating__pins": 0, "placeopt__timing__drv__hold_violation_count": 0, @@ -338,10 +348,10 @@ "placeopt__timing__setup__tns": 0, "placeopt__timing__setup__ws": 0.104237, "run__flow__design": "jpeg", - "run__flow__generate_date": "2024-09-28 20:25", + "run__flow__generate_date": "2024-10-03 21:52", "run__flow__metrics_version": "Metrics_2.1.2", "run__flow__openroad_commit": "N/A", - "run__flow__openroad_version": "v2.0-16113-g7b5c8faf7", + "run__flow__openroad_version": "v2.0-16239-ge23ebb9f9", "run__flow__platform": "sky130hs", "run__flow__platform__capacitance_units": "1pF", "run__flow__platform__current_units": "1mA", @@ -352,12 +362,12 @@ "run__flow__platform__voltage_units": "1v", "run__flow__platform_commit": "N/A", "run__flow__scripts_commit": "not a git repo", - "run__flow__uuid": "94e0089a-e9ff-41a9-bb7a-f6304b034945", + "run__flow__uuid": "39ddaef8-494b-49ba-a12f-a186fce1571a", "run__flow__variant": "base", - "synth__cpu__total": 138.41, + "synth__cpu__total": 129.36, "synth__design__instance__area__stdcell": 678413.7072, "synth__design__instance__count__stdcell": 61378.0, - "synth__mem__peak": 745576.0, - "synth__runtime__total": "2:20.12", - "total_time": "0:20:38.720000" + "synth__mem__peak": 745448.0, + "synth__runtime__total": "2:10.99", + "total_time": "0:19:37.180000" } \ No newline at end of file diff --git a/flow/designs/sky130hs/jpeg/rules-base.json b/flow/designs/sky130hs/jpeg/rules-base.json index 55150d0a82..ad6cdc3858 100644 --- a/flow/designs/sky130hs/jpeg/rules-base.json +++ b/flow/designs/sky130hs/jpeg/rules-base.json @@ -44,11 +44,11 @@ "compare": "<=" }, "detailedroute__antenna_diodes_count": { - "value": 338, + "value": 411, "compare": "<=" }, "finish__timing__setup__ws": { - "value": -0.3, + "value": -0.65, "compare": ">=" }, "finish__design__instance__area": { diff --git a/flow/scripts/detail_route.tcl b/flow/scripts/detail_route.tcl index 60cfbfbe7f..79222aa8ba 100644 --- a/flow/scripts/detail_route.tcl +++ b/flow/scripts/detail_route.tcl @@ -53,7 +53,9 @@ set_routing_layers -signal $env(MIN_ROUTING_LAYER)-$env(MAX_ROUTING_LAYER) if {![env_var_equals SKIP_ANTENNA_REPAIR_POST_DRT 1]} { set repair_antennas_iters 1 - repair_antennas + if {[repair_antennas]} { + detailed_route {*}$all_args + } while {[check_antennas] && $repair_antennas_iters < 5} { repair_antennas detailed_route {*}$all_args diff --git a/tools/OpenROAD b/tools/OpenROAD index 95b6d41845..e23ebb9f99 160000 --- a/tools/OpenROAD +++ b/tools/OpenROAD @@ -1 +1 @@ -Subproject commit 95b6d418456f91f8ef15e0cde2b8d7fe631da6a1 +Subproject commit e23ebb9f99b8e025c51b6563f55df4db9fe83ec8